Publications Computational Lithography and Optics

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2023 3D Mask Simulation and Lithographic Imaging using Physics-Informed Neural Networks
Medvedev, Vlad; Erdmann, Andreas; Roßkopf, Andreas
Meeting Abstract
2022 Precise optical constants: determination and impact on metrology, simulation and development of EUV masks
Saadeh, Qais; Mesilhy, Hazem; Soltwisch, Victor; Erdmann, Andreas; Ciesielski, Richard; Lohr, Leonhard M.; Andrle, Anna; Philipsen, Vicky; Thakare, Devesh; Laubis, Christian; Scholze, Frank; Kolbe, Michael
Konferenzbeitrag
Conference Paper
2022 3D mask defect and repair simulation based on SEM images
Medvedev, Vladimir; Evanschitzky, Peter; Erdmann, Andreas
Konferenzbeitrag
Conference Paper
2022 Attenuated phase shift masks: A wild card resolution enhancement for extreme ultraviolet lithography?
Erdmann, Andreas; Mesilhy, Hazem; Evanschitzky, Peter
Zeitschriftenaufsatz
Journal Article
2021 Accurate prediction of EUV lithographic images and 3D mask effects using generative networks
Awad, A.; Brendel, P.; Evanschitzky, P.; Woldeamanual, D.S.; Rosskopf, A.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2021 Study of novel EUVL mask absorber candidates
Wu, M.; Thakare, D.; Marneffe, J.-F. de; Jaenen, P.; Souriau, L.; Opsomer, K.; Soulié, J.-P.; Erdmann, A.; Mesilhy, H.; Naujok, P.; Foltin, M.; Soltwisch, V.; Saadeh, Q.; Philipsen, V.
Zeitschriftenaufsatz
Journal Article
2021 Simulation of polychromatic effects in high NA EUV lithography
Erdmann, A.; Mesilhy, H.; Evanschitzky, P.; Saadeh, Q.; Soltwisch, V.; Bihr, S.; Zimmermann, J.; Philipsen, V.
Konferenzbeitrag
Conference Paper
2021 Investigation of waveguide modes in EUV mask absorbers
Mesilhy, H.; Evanschitzky, P.; Bottiglieri, G.; Lare, C. Van; Setten, E. Van; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2021 Stochastic simulation and calibration of organometallic photoresists for extreme ultraviolet lithography
Belete, Z.; Bisschop, P. de; Welling, U.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2021 Modeling the impact of shrinkage effects on photoresist development
D'Silva, S.; Mülders, T.; Stock, H.-J.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2021 Simulation study on EUV multilayer polarization effects
Bilalaj, L.; Mesilhy, H.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2021 Mask defect detection with hybrid deep learning network
Evanschitzky, P.; Auth, N.; Heil, T.; Hermanns, C.F.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2020 Mask absorber for next generation EUV lithography
Wu, M.; Thakare, D.; Marneffe, J.-F. de; Jaenen, P.; Souriau, L.; Opsomer, K.; Soulié, J.-P.; Erdmann, A.; Mesilhy, H.; Naujok, P.; Foltin, M.; Soltwisch, V.; Saadeh, Q.; Philipsen, V.
Konferenzbeitrag
Conference Paper
2020 Perspectives and tradeoffs of absorber materials for high NA EUV lithography
Erdmann, A.; Mesilhy, H.; Evanschitzky, P.; Philipsen, V.; Timmermans, F.; Bauer, M.
Zeitschriftenaufsatz
Journal Article
2020 Pathfinding the perfect EUV mask: The role of the multilayer
Mesilhy, H.; Evanschitzky, P.; Bottiglieri, G.; Setten, E. van; Fliervoet, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2019 3D mask effects in high NA EUV imaging
Erdmann, A.; Evanschitzky, P.; Bottiglieri, G.; Setten, E. van; Fliervoet, T.
Konferenzbeitrag
Conference Paper
2019 Lithographic effects due to particles on high-NA EUV mask pellicle
Devaraj, L.; Bottiglieri, G.; Erdmann, A.; Wählisch, F.; Kupers, M.; Setten, E. van; Fliervoet, T.
Konferenzbeitrag
Conference Paper
2019 Mask absorber development to enable next-generation EUVL
Philipsen, V.; Luong, K.V.; Opsomer, K.; Souriau, L.; Rip, J.; Detavernier, C.; Erdmann, A.; Evanschitzky, P.; Laubis, C.; Honicke, P.; Soltwisch, V.; Hendrickx, E.
Konferenzbeitrag
Conference Paper
2019 Learned sensing: Jointly optimized microscope hardware for accurate image classification
Muthumbi, A.; Chaware, A.; Kim, K.; Zhou, K.C.; Konda, P.C.; Chen, R.; Judkewitz, B.; Erdmann, A.; Kappes, B.; Horstmeyer, R.
Zeitschriftenaufsatz
Journal Article
2019 Attenuated phase shift mask for extreme ultraviolet: Can they mitigate three-dimensional mask effects?
Erdmann, A.; Evanschitzky, P.; Mesilhy, H.; Philipsen, V.; Hendrickx, E.; Bauer, M.
Zeitschriftenaufsatz
Journal Article
2018 Fourier ptychography for lithography high NA systems
Dejkameh, A.; Erdmann, A.; Evanschitzky, P.; Ekinci, Y.
Konferenzbeitrag
Conference Paper
2018 Simulation study of illumination effects in high-NA EUV lithography
Ismail, M.; Evanschitzky, P.; Erdmann, A.; Bottiglieri, G.; Setten, E. van; Fliervoet, T.F.
Konferenzbeitrag
Conference Paper
2018 Application of deep learning algorithms for Lithographic mask characterization
Woldeamanual, D.S.; Erdmann, A.; Maier, A.
Konferenzbeitrag
Conference Paper
2018 Modeling of block copolymer dry etching for directed self-assembly lithography
Belete, Zelalem; Bär, Eberhard; Erdmann, Andreas
Konferenzbeitrag
Conference Paper
2018 Novel EUV mask absorber evaluation in support of next-generation EUV imaging
Philipsen, V.; Luong, K.V.; Opsomer, K.; Detavernier, C.; Hendrickx, E.; Erdmann, A.; Evanschitzky, P.; Kruijs, R.W.E. van de; Heidarnia-Fathabad, Z.; Scholze, F.; Laubis, C.
Konferenzbeitrag
Conference Paper
2018 Accurate determination of 3D PSF and resist effects in grayscale laser lithography
Onanuga, T.; Kaspar, C.; Sailer, H.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2018 Attenuated PSM for EUV
Erdmann, A.; Evanschitzky, P.; Mesilhy, H.; Philipsen, V.; Hendrickx, E.; Bauer, M.
Konferenzbeitrag
Conference Paper
2017 Simulation flow and model verification for laser direct-write lithography
Onanuga, T.; Rumler, M.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2017 A physical model for innovative laser direct write lithography
Onanuga, T.; Rumler, M.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2017 Levet-set-based inverse lithography under random field shape uncertainty in a vector Hopkins imaging model
Wu, X.; Fühner, T.; Erdmann, A.; Lam, E.Y.
Konferenzbeitrag
Conference Paper
2017 Special issue on ptychography
Erdmann, A.; Situ, G.
Zeitschriftenaufsatz
Journal Article
2017 Reducing EUV mask 3D effects by alternative metal absorbers
Philipsen, V.; Luong, K.V.; Souriau, L.; Hendrickx, E.; Erdmann, A.; Xu, D.; Evanschitzky, P.; Kruijs, R.W.E. van de; Edrisi, A.; Scholze, F.; Laubis, C.; Irmscher, M.; Naasz, S.; Reuter, C.
Konferenzbeitrag
Conference Paper
2017 Efficient simulation of EUV pellicles
Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2017 Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography
Erdmann, A.; Xu, D.; Evanschitzky, P.; Philipsen, V.; Luong, V.; Hendrickx, E.
Zeitschriftenaufsatz
Journal Article
2017 Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers
Philipsen, V.; Luong, K.V.; Souriau, L.; Erdmann, A.; Xu, D.; Evanschitzky, P.; Kruijs, R.W.E. van de; Edrisi, A.; Scholze, F.; Laubis, C.; Irmscher, M.; Naasz, S.; Reuter, C.; Hendrickx, E.
Zeitschriftenaufsatz
Journal Article
2016 Extreme ultraviolet multilayer defect analysis and geometry reconstruction
Xu, D.; Evanschitzky, P.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2016 Resolution enhancements for semiconductor lithography: A computational perspective
Erdmann, A.
Konferenzbeitrag
Conference Paper
2016 Automated source/mask/directed self-assembly optimization using a self-adaptive hierarchical modeling approach
Fühner, T.; Michalak, P.; Wu, X.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2016 Mask-induced best-focus shifts in deep ultraviolet and extreme ultraviolet lithography
Erdmann, A.; Evanschitzky, P.; Neumann, J.T.; Gräupner, P.
Zeitschriftenaufsatz
Journal Article
2016 Extending VLSI and alternative technology with optical and complementary lithography
Lai, K.; Erdmann, A.
Editorial
2016 Efficient simulation of EUV pellicles
Evanschitzky, Peter; Erdmann, Andreas
Vortrag
Presentation
2016 Incorporating photomask shape uncertainty in computational lithography
Wu, X.; Liu, S.; Erdmann, A.; Lam, E.Y.
Konferenzbeitrag
Conference Paper
2016 3D simulation of light exposure and resist effects in laser direct write lithography
Onanuga, Temitope; Erdmann, Andreas
Konferenzbeitrag
Conference Paper
2016 Challenges for predictive EUV mask modeling
Evanschitzky, Peter; Erdmann, Andreas
Vortrag
Presentation
2015 Optical and EUV projection lithography: A computational view
Erdmann, A.; Fühner, T.; Evanschitzky, P.; Agudelo, V.; Freund, C.; Michalak, P.; Xu, D.B.
Zeitschriftenaufsatz
Journal Article
2015 Application of principal component analysis to EUV multilayer defect printing
Xu, D.; Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2015 Mask-induced best-focus-shifts in DUV and EUV lithography
Erdmann, A.; Evanschitzky, P.; Neumann, J.T.; Gräupner, P.
Konferenzbeitrag
Conference Paper
2015 Continuation of scaling with optical and complementary lithography. Editorial
Lai, K.F.; Erdmann, A.
Editorial
2015 Introduction to the special issue on optical lithography
Erdmann, A.; Shibuya, M.
Zeitschriftenaufsatz
Journal Article
2015 Application of the transport of intensity equation to EUV multilayer defect analysis
Xu, D.; Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2014 Challenges and opportunities for process modeling in the nanotechnology era
Lorenz, J.K.; Baer, E.; Burenkov, A.; Erdmann, A.; Evanschitzky, P.; Pichler, P.
Zeitschriftenaufsatz
Journal Article
2014 Advances in lithography: Introduction to the feature. Editorial
Erdmann, A.; Liang, R.G.; Sezginer, A.; Smith, B.
Editorial
2014 Advances in lithography: Introduction to the feature. Editorial
Erdmann, A.; Liang, R.G.; Sezginer, A.; Smith, B.
Editorial
2014 Fast model for mask spectrum simulation and analysis of mask shadowing effects in extreme ultraviolet lithography
Liu, Xiaolei; Wang, Xiangzhao; Li, Sikun; Yan, Guanyong; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2014 Application of artificial neural networks to compact mask models in optical lithography simulation
Agudelo, V.; Fühner, T.; Erdmann, A.; Evanschitzky, P.
Zeitschriftenaufsatz
Journal Article
2014 Pixel-based defect detection from high-NA optical projection images
Xu, D.B.; Fühner, T.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2014 Aberration measurement technique based on an analytical linear model of a through-focus aerial image
Yan, G.; Wang, X.; Li, S.; Yang, J.; Xu, D.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2014 Lithographic process window optimization for mask aligner proximity lithography
Voelkel, R.; Vogler, U.; Bramati, A.; Erdmann, A.; Ünal, N.; Hofmann, U.; Hennemeyer, M.; Zoberbier, R.; Nguyen, D.; Brugger, J.
Konferenzbeitrag
Conference Paper
2014 In situ aberration measurement method using a phase-shift ring mask
Wang, X.; Li, S.; Yang, J.; Tang, F.; Yan, G.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2014 Fast rigorous model for mask spectrum simulation and analysis of mask shadowing effects in EUV lithography
Liu, X.; Wang, X.; Li, S.; Yan, G.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2014 A defocus measurement method for an in situ aberration measurement method using a phase-shift ring mask
Li, S.; Wang, X.; Yang, J.; Tang, F.; Yan, G.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2014 Rigorous simulation and optimization of the lithography/directed self-assembly co-process
Fühner, T.; Welling, U.; Müller, M.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2013 In situ aberration measurement technique based on an aerial image with an optimized source
Yan, G.; Wang, X.; Li, S.; Yang, J.; Xu, D.; Duan, L.; Bourov, A.Y.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2013 Defect inspection and detection using optical image projection
Xu, D.; Li, S.; Wang, X.; Erdmann, A.
Vortrag
Presentation
2013 Efficient simulation of extreme ultraviolet multilayer defects with rigorous data base approach
Evanschitzky, Peter; Shao, Feng; Erdmann, Andreas
Zeitschriftenaufsatz
Journal Article
2013 Modeling studies on alternative EUV mask concepts for higher NA
Erdmann, A.; Fühner, T.; Evanschitzky, P.; Neumann, J.T.; Ruoff, J.; Gräupner, P.
Konferenzbeitrag
Conference Paper
2013 Source mask optimization using real-coded genetic algorithms
Yang, C.; Wang, X.; Li, S.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2013 Defect parameters retrieval based on optical projection images
Xu, D.; Li, S.; Wang, X.; Fühner, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2013 Modeling strategies for EUV mask multilayer defect dispositioning and repair
Erdmann, A.; Evanschitzky, P.; Bret, T.; Jonckheere, R.
Konferenzbeitrag
Conference Paper
2013 Application of artificial neural networks to compact mask models in optical lithography simulation
Agudelo, V.; Fühner, T.; Erdmann, A.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2013 Adaptive denoising method to improve aberration measurement performance
Yang, J.; Wang, X.; Li, S.; Duan, L.; Bourov, A.Y.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2013 High-order aberration measurement technique based on quadratic Zernike model with optimized source
Yang, J.; Wang, X.; Li, S.; Duan, L.; Yan, G.; Xu, D.; Bourov, A.Y.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2012 Simulation of 3D inclined/rotated UV lithography and its application to microneedles
Liu, S.; Roeder, G.; Aygun, G.; Motzek, K.; Evanschitzky, P.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2012 Mask aligner lithography simulation - From lithography simulation to process validation
Motzek, K.; Partel, S.; Bramati, A.; Hofmann, U.; Unal, N.; Hennemeyer, M.; Hornung, M.; Heindl, A.; Ruhland, M.; Erdmann, A.; Hudek, P.
Zeitschriftenaufsatz
Journal Article
2012 Resist properties required for 6.67 nm extreme ultraviolet lithography
Kozawa, T.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2012 Advanced mask aligner lithography (AMALITH)
Völkel, R.; Vogler, U.; Bramati, A.; Weichelt, T.; Stürzebecher, L.; Zeitner, U.D.; Motzek, K.; Erdmann, A.; Hornung, M.; Zoberbier, R.
Konferenzbeitrag
Conference Paper
2012 In-situ aberration measurement technique based on aerial image with optimized source
Yan, G.; Wang, X.; Li, S.; Yang, J.; Xu, D.; Duan, L.; Bourov, A.Y.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2012 Mutual source, mask and projector pupil optimization
Fühner, T.; Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2012 Influence of two typical defects on the near-field optical properties of multilayer dielectric compression gratings
Jin, Y.X.; Guan, H.Y.; Kong, F.Y.; Wang, J.P.; Erdmann, A.; Liu, S.J.; Du, Y.; Shao, J.D.; He, H.B.; Yi, K.
Zeitschriftenaufsatz
Journal Article
2012 In situ aberration measurement technique based on quadratic Zernike model
Yang, J.; Wang, X.; Li, S.; Duan, L.; Yan, G.; Xu, D.; Bourov, A.Y.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2012 Efficient simulation of EUV multilayer defects with rigorous data base approach
Evanschitzky, Peter; Shao, Feng; Erdmann, Andreas
Konferenzbeitrag
Conference Paper
2012 Analysis of EUV mask multilayer defect printing characteristics
Erdmann, A.; Evanschitzky, P.; Bret, T.; Jonckheerec, R.
Konferenzbeitrag
Conference Paper
2012 Evaluation of various compact mask and imaging models for the efficient simulation of mask topography effects in immersion lithography
Agudelo, V.; Evanschitzky, P.; Erdmann, A.; Fühner, T.
Konferenzbeitrag
Conference Paper
2012 Imaging characteristics of binary and phase shift masks for EUV projection lithography
Erdmann, A.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2012 Rigorous electromagnetic field simulation of the impact of photomask line-edge and line-width roughness on lithographic processes
Rudolph, O.; Evanschitzky, P.; Erdmann, A.; Bär, E.; Lorenz, J.
Zeitschriftenaufsatz
Journal Article
2012 Resonant metamaterials for contrast enhancement in optical lithography
Dobmann, S.; Shyroki, D.; Banzer, P.; Erdmann, A.; Peschel, U.
Zeitschriftenaufsatz
Journal Article
2011 Predictive modeling of EUV-lithography: The role of mask, optics, and photoresist effects
Erdmann, A.; Evanschitzky, P.; Shao, F.; Fühner, T.; Lorusso, G.; Hendrickx, E.; Goethals, A.M.; Jonckheere, R.; Bret, T.; Hofmann, T.
Konferenzbeitrag
Conference Paper
2011 Computational algorithms for optimizing mask layouts in proximity printing
Motzek, K.; Vogler, U.; Hennemeyer, M.; Hornung, M.; Voelkel, R.; Erdmann, A.; Meliorisz, B.
Zeitschriftenaufsatz
Journal Article
2011 Modeling of mask diffraction and projection imaging for advanced optical and EUV lithography
Erdmann, A.; Shao, F.; Agudelo, V.; Fühner, T.; Evanschitzky, P.
Zeitschriftenaufsatz
Journal Article
2011 Influence of geometry variations and defects on the near-field optical properties of pulsed compression gratings
Wang, J.; Erdmann, A.; Liu, S.; Shao, J.; Jin, Y.; He, H.; Yi, K.
Konferenzbeitrag
Conference Paper
2011 Rigorous EMF simulation of the impact of photomask line-edge and line-width roughness on lithographic processes
Rudolph, O.H.; Evanschitzky, P.; Erdmann, A.; Bär, E.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2011 Compensation of mask induced aberrations by projector wavefront control
Evanschitzky, Peter; Shao, Feng; Fühner, Tim; Erdmann, Andreas
Konferenzbeitrag
Conference Paper
2011 Innovations in structured thin film design and fabrication for optical applications
Qi, H.; Wang, J.; Erdmann, A.; Jin, Y.; Shao, J.; Fan, Z.
Konferenzbeitrag
Conference Paper
2011 Feasibility study of chemically amplified resists for short wavelength extreme ultraviolet lithography
Kozawa, T.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2011 Rigorous EMF simulation of the impact of photomask line-edge and line-width roughness on lithographic processes
Rudolph, Oliver; Evanschitzky, Peter; Erdmann, Andreas; Bär, Eberhard; Lorenz, Jürgen
Poster
2011 Determination of the dill parameters of thick positive resist for use in modeling applications
Roeder, G.; Liu, S.; Aygun, G.; Evanschitzky, P.; Erdmann, A.; Schellenberger, M.; Pfitzner, L.
Konferenzbeitrag
Conference Paper
2011 Image simulation of projection systems in photolithography
Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas
Konferenzbeitrag
Conference Paper
2011 Accuracy and performance of 3D mask models in optical projection lithography
Agudelo, V.; Evanschitzky, P.; Erdmann, A.; Fühner, T.; Shao, F.; Limmer, S.; Fey, D.
Konferenzbeitrag
Conference Paper
2011 Analytical model for EUV mask diffraction field calculation
Cao, Y.; Wang, X.; Erdmann, A.; Bu, P.; Bu, Y.
Konferenzbeitrag
Conference Paper
2011 Numerical optimization of illumination and mask layout for the enlargement of process windows and for the control of photoresist profiles in proximity printing
Motzek, Kristian; Partel, Stefan; Vogler, Uwe; Erdmann, Andreas
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica
Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2011 Modellierung und Simulation bei Mask Aligner Lithographie (Source-Mask Optimization)
Vogler, U.; Bramati, A.; Völkel, R.; Hornung, M.; Zoberbier, R.; Motzek, M.; Erdmann, A.; Stürzebecher, L.; Zeitner, U.
Zeitschriftenaufsatz
Journal Article
2010 Acid diffusion effects between resists in freezing processes used for contact hole patterning
Fuhrmann, J.; Fiebach, A.; Erdmann, A.; Trefonas, P.
Konferenzbeitrag
Conference Paper
2010 Efficient simulation of three-dimensional EUV masks for rigorous source mask optimization and mask induced imaging artifact analysis
Evanschitzky, P.; Fühner, T.; Shao, F.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2010 Modeling of double patterning interactions in litho-cure-litho-etch (LCLE) processes
Erdmann, A.; Shao, F.; Fuhrmann, J.; Fiebach, A.; Patsis, G.P.; Trefonas, P.
Konferenzbeitrag
Conference Paper
2010 Extraordinary low transmission of a metamaterial for application in lithography
Dobmann, S.; Ploss, D.; Reibold, D.; Erdmann, A.; Peschel, U.
Konferenzbeitrag
Conference Paper
2010 Optimization of illumination pupils and mask structures for proximity printing
Motzek, K.; Bich, A.; Erdmann, A.; Hornung, M.; Hennemeyer, M.; Meliorisz, B.; Hofmann, U.; Ünal, N.; Völkel, R.; Partel, S.; Hudek, P.
Konferenzbeitrag
Conference Paper
2010 Topography-aware BARC optimization for double patterning
Liu, S.; Fühner, T.; Shao, F.; Barenbaum, A.; Jahn, J.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2010 Rigorous EMF simulation of absorber shape variations and their impact on lithographic processes
Rahimi, Z.; Erdmann, A.; Evanschitzky, P.; Pflaum, C.
Konferenzbeitrag
Conference Paper
2010 Mask diffraction analysis and optimization for extreme ultraviolet masks
Erdmann, A.; Evanschitzky, P.; Fühner, T.
Zeitschriftenaufsatz
Journal Article
2010 Modeling of exploration of reversible contrast enhacement layers for double exposure lithography
Shao, F.; Cooper, G.D.; Chen, Z.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2010 Characterization of the scattering effect of complex mask geometries with surface roughness
Rahimi, Z.; Erdmann, A.; Pflaum, C.
Konferenzbeitrag
Conference Paper
2010 Mask and wafer topography effects in optical and EUV-lithography
Erdmann, A.; Shao, F.; Evanschitzky, P.; Fühner, T.
Konferenzbeitrag
Conference Paper
2010 Fast and highly accurate simulation of the printing behavior of EUV multilayer defects based on different models
Shao, F.; Evanschitzky, P.; Motzek, K.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2010 Mask-topography-induced phase effects and wave aberrations in optical and extreme ultraviolet lithography
Erdmann, A.; Shao, F.; Evanschitzky, P.; Fühner, T.
Konferenzbeitrag
Conference Paper
2009 Mask diffraction analysis and optimization for EUV masks
Erdmann, A.; Evanschitzky, P.; Fühner, T.
Konferenzbeitrag
Conference Paper
2009 Extraordinary low transmission effects for ultra-thin patterned metal films
Reibold, D.; Shao, F.; Erdmann, A.; Peschel, U.
Zeitschriftenaufsatz
Journal Article
2009 Lithography simulation: Modeling techniques and selected applications
Erdmann, A.; Fühner, T.; Shao, F.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2009 A model of self-limiting residual acid diffusion for pattern doubling
Fuhrmann, J.; Fiebach, A.; Uhle, M.; Erdmann, A.; Szmanda, C.R.; Truong, C.
Konferenzbeitrag
Conference Paper
2009 Rigorous diffraction simulations of topographic wafer stacks in double patterning
Feng, S.; Evanschitzky, P.; Fühner, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2009 Lithographic importance of base diffusion in chemically amplified photoresists
Schnattinger, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2009 Advanced lithography models for strict process control in the 32 nm technology node
Patsis, G.P.; Drygiannakis, D.; Raptis, I.; Gogolides, E.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2009 Efficient simulation and optimization of wafer topographies in double patterning
Shao, F.; Evanschitzky, P.; Fühner, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2009 Finite Integration (FI) method for modelling optical waves in lithography masks
Rahimi, Z.; Erdmann, A.; Pflaum, C.
Konferenzbeitrag
Conference Paper
2009 Efficient analysis of three dimensional EUV mask imaging artifacts using the waveguide decomposition method
Shao, F.; Evanschitzky, P.; Fühner, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2009 Extended Abbe approach for fast and accurate lithography imaging simulations
Evanschitzky, P.; Erdmann, A.; Fühner, T.
Konferenzbeitrag
Conference Paper
2009 Photomasks for semiconductor lithography: From simple shadow casters to complex 3D scattering objects
Erdmann, A.; Reibold, D.; Fühner, T.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2009 Exploration of linear and non-linear double exposure techniques by simulation
Petersen, J.S.; Greenway, R.T.; Fühner, T.; Evanschitzky, P.; Shao, F.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2008 A simulation study on the impact of lithographic process variations on CMOS device performance
Fühner, T.; Kampen, C.; Kodrasi, I.; Burenkov, A.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2008 On the stability of fully depleted SOI MOSFETs under lithography process variations
Kampen, C.; Fühner, T.; Burenkov, A.; Erdmann, A.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2008 Photomasks for semiconductor lithography: From simple shadow casters to complex 3D scattering objects
Erdmann, A.; Reibold, D.; Fühner, T.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2008 Optimization of mask absorber stacks and illumination settings for contact hole imaging
Erdmann, A.; Fühner, T.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2008 Increasing the predictability of AIMSTM measurements by coupling to resist simulations
Meliorisz, B.; Erdmann, A.; Schnattinger, T.; Strößner, U.; Scherübl, T.; Bisschop, P. de; Philipsen, V.
Konferenzbeitrag
Conference Paper
2008 Fast rigorous simulation of mask diffraction using the waveguide method with parallelized decomposition technique
Shao, F.; Evanschitzky, P.; Reibold, D.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2008 A comprehensive resist model for the prediction of line-edge roughness material and process dependencies in optical lithography
Schnattinger, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2008 Investigation of high-resolution contact printing
Meliorisz, B.; Partel, S.; Schnattinger, T.; Fühner, T.; Erdmann, A.; Hudek, P.
Konferenzbeitrag
Conference Paper
2008 Benchmark of rigorous methods for electromagnetic field simulations
Burger, S.; Zschiedrich, L.; Schmidt, F.; Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2008 Simulation-based EUV source and mask optimization
Fühner, T.; Erdmann, A.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2008 Rigorous electromagnetic field simulation of two-beam interference exposures for the exploration of double patterning and double exposure scenarios
Erdmann, A.; Evanschitzky, P.; Fühner, T.; Schnattinger, T.; Xu, C.B.; Szmanda, C.
Konferenzbeitrag
Conference Paper
2007 Dr.LiTHO - a development and research lithography simulator
Fühner, T.; Schnattinger, T.; Ardelean, G.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2007 Memetic algorithms: Parametric optimization for microlithography
Dürr, C.; Fühner, T.; Tollkühn, B.; Erdmann, A.; Kokai, G.
Aufsatz in Buch
Book Article
2007 Simulation of mask proximity printing
Meliorisz, B.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2007 The impact of the mask stack and its optical parameters on the imaging performance
Erdmann, A.; Fühner, T.; Seifert, S.; Popp, S.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2007 Direct optimization approach for lithographic process conditions
Fühner, T.; Erdmann, A.; Seifert, S.
Zeitschriftenaufsatz
Journal Article
2007 Rigorous electromagnetic field mask modeling and related lithographic effects in the low k(1) and ultrahigh numerical aperture regime
Erdmann, A.; Evanschitzky, P.
Zeitschriftenaufsatz
Journal Article
2007 Fast near field simulation of optical and EUV masks using the waveguide method
Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2007 Impact of alternative mask stacks on the imaging performance at NA 1.20 and above
Philipsen, V.; Mesuda, K.; Bisschop, P. de; Erdmann, A.; Citarella, G.; Evanschitzky, P.; Birkner, R.; Richter, R.; Scherübl, T.
Konferenzbeitrag
Conference Paper
2007 Simulation of proximity and contact lithography
Meliorisz, B.; Evanschitzky, P.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2007 Simulation of larger mask areas using the waveguide method with fast decomposition technique
Evanschitzky, P.; Shao, F.; Erdmann, A.; Reibold, D.
Konferenzbeitrag
Conference Paper
2006 Benchmark of a lithography simulation tool for next generation applications
Tollkühn, B.; Uhle, M.; Fuhrmann, J.; Gärtner, K.; Heubner, A.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Efficient optimization of lithographic process conditions using a distributed, combined global/local search approach
Fühner, T.; Popp, S.; Dürr, C.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Rigorous mask modeling beyond the Hopkins approach
Schermer, J.; Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 A fast development simulation algorithm for discrete resist models
Schnattinger, T.; Bär, E.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Rigorous mask modelling beyond the hopkins approach
Schermer, J.; Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Mesoscopic resist processing simulation in optical lithography
Schnattinger, T.; Bär, E.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Mask defect printing mechanisms for future lithography generations
Erdmann, A.; Graf, T.; Bubke, K.; Höllein, I.; Teuber, S.
Konferenzbeitrag
Conference Paper
2006 Three-dimensional resist development simulation with discrete models
Schnattinger, T.; Baer, E.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2006 Accurate extraction of maximum current densities from the layout
Seidl, A.; Schnattinger, T.; Erdmann, A.; Hartmann, H.; Petrashenko, A.
Konferenzbeitrag
Conference Paper
2006 Rigorous mask modeling using waveguide and FDTD methods. An assessment for typical hyper NA imaging problems
Erdmann, A.; Evanschitzky, P.; Citarella, G.; Fühner, T.; Bisschop, P. de
Konferenzbeitrag
Conference Paper
2006 Defect printability study using EUV lithography
Holfeld, C.; Bubke, K.; Lehmann, F.; La Fontaine, B.; Pawloski, A.R.; Schwarzl, S.; Kamm, F.M.; Graf, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Validity of the Hopkins approximation in simulations of hyper-NA (NA>1) line-space structures for an attenuated PSM mask
Erdmann, A.; Citarella, G.; Evanschitzky, P.; Schermer, H.; Philipsen, V.; Bisschop, P. de
Konferenzbeitrag
Conference Paper
2005 Mask modeling in the low k1 and ultrahigh NA regime: phase and polarization effects
Erdmann, A.
Konferenzbeitrag
Conference Paper
2005 Simulation of the effect of a resist-surface bound air bubble on imaging in immersion lithography
Bisschop, P. de; Erdmann, A.; Rathsfeld, A.
Konferenzbeitrag
Conference Paper
2005 Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm
Fühner, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2005 Mask modeling in the low k(1) and ultrahigh NA regime: Phase and polarization effects
Erdmann, A.
Konferenzbeitrag
Conference Paper
2005 Simplified resist models for efficient simulation of contact holes and line ends
Tollkühn, B.; Erdmann, A.; Semmler, A.; Nölscher, C.
Konferenzbeitrag
Conference Paper
2005 Three dimensional EUV simulations: a new mask near field and imaging simulation system
Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2005 Correlation analysis: A fast and reliable method for a better understanding of simulation models in optical lithography
Tollkühn, B.; Heubner, A.; Elian, K.; Ruppenstein, B.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2005 Mask and wafer topography effects in immersion lithography
Erdmann, A.; Evanschitzky, P.; Bisschop, P. de
Konferenzbeitrag
Conference Paper
2005 Aerial image analysis for defective masks in optical lithography
Graf, T.; Erdmann, A.; Evanschitzky, P.; Tollkühn, B.; Eggers, K.; Ziebold, R.; Teuber, S.; Höllein, I.
Konferenzbeitrag
Conference Paper
2005 Modeling and simulation
Erdmann, A.
Aufsatz in Buch
Book Article
2004 Towards automatic mask and source optimization for optical lithography
Erdmann, A.; Fühner, T.; Schnattinger, T.; Tollkühn, B.
Konferenzbeitrag
Conference Paper
2004 Do we need complex resist models for predictive simulation of lithographic process performance?
Tollkühn, B.; Erdmann, A.; Lammers, J.; Nolscher, C.; Semmler, A.
Konferenzbeitrag
Conference Paper
2004 Genetic algorithms for geometry optimization in lithographic imaging systems
Fühner, T.; Erdmann, A.; Schnattinger, T.
Konferenzbeitrag
Conference Paper
2004 Genetic algorithms to improve mask and illumination geometries in lithographic imaging systems
Fühner, T.; Erdmann, A.; Farkas, R.; Tollkühn, B.; Kokai, G.
Konferenzbeitrag
Conference Paper
2004 Process optimization using lithography simulation
Erdmann, A.
Konferenzbeitrag
Conference Paper
2004 Verfahren zur Bestimmung von Strukturparametern einer Oberflaeche
Weidner, A.; Erdmann, A.; Schneider, C.
Patent
2004 Enhanced model for the efficient 2D and 3D simulation of defective EUV masks
Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2004 Simulation is essential to successful design of modern semiconductors
Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2004 Genetic algorithm for optimization and calibration in process simulation
Fühner, T.; Erdmann, A.; Ortiz, C.J.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2004 The impact of EUV mask defects on lithographic process performance
Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2003 Optical lithography for future technology generations
Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2003 Simulation of extreme ultraviolet masks with defective multilayers
Evanschitzky, P.; Erdmann, A.; Besacier, M.; Schiavone, P.
Konferenzbeitrag
Conference Paper
2003 EUV mask simulation for AIMS
Windpassinger, R.; Rosenkranz, N.; Scherübl, T.; Evanschitzky, P.; Erdmann, A.; Zibold, A.
Konferenzbeitrag
Conference Paper
2003 Rigorous simulation of exposure over nonplanar wafers
Erdmann, A.; Kalus, C.K.; Schmöller, T.; Klyonova, Y.; Sato, T.; Endo, A.; Shibata, T.; Kobayashi, Y.
Konferenzbeitrag
Conference Paper
2003 Mask and source optimization for lithographic imaging systems
Erdmann, A.; Farkas, R.; Fühner, T.; Tollkühn, B.; Kokai, G.
Konferenzbeitrag
Conference Paper
2003 Will Darwin's law help us to improve our resist models?
Tollkühn, B.; Fühner, T.; Matiut, D.; Erdmann, A.; Semmler, A.; Kuchler, B.; Kokai, B.
Konferenzbeitrag
Conference Paper
2003 Efficient simulation of light diffraction from 3-dimensional EUV-masks using field decomposition techniques
Erdmann, A.; Kalus, C.K.; Schmöller, T.; Wolter, A.
Konferenzbeitrag
Conference Paper
2003 Rigorous simulation of defective EUV multilayer masks
Sambale, C.; Schmöller, T.; Erdmann, A.; Evanschitzky, P.; Kalus, C.
Konferenzbeitrag
Conference Paper
2003 New models for the simulation of post-exposure bake of chemically amplified resist
Matiut, D.; Erdmann, A.; Tollkühn, B.; Semmler, A.
Konferenzbeitrag
Conference Paper
2003 Rigorous simulation of alignment for microlithography
Nikolaev, N.I.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2002 New methods to calibrate simulation parameters for chemically amplified resists
Tollkuehn, B.; Erdmann, A.; Kivel, N.; Robertson, S.A.; Kang, D.; Hansen, S.G.; Fumar, P.A.; Tsann, B.C.; Hoppe, W.
Konferenzbeitrag
Conference Paper
2002 Enhancements in rigorous simulation of light diffraction from phase-shift masks
Erdmann, A.; Kachwala, N.
Konferenzbeitrag
Conference Paper
2002 Herstellung von optisch abgebildeten Strukturen mit einer Phasenschiebung von transmittierten Lichtanteilen
Erdmann, A.; Vial, A.
Patent
2002 Modification of boundaries conditions in the FDTD algorithm for EUV masks modeling
Vial, A.; Erdmann, A.; Schmoeller, T.; Kalus, C.
Konferenzbeitrag
Conference Paper
2001 Effect of copper on the breakthrough voltage of Poly-Si - Poly-Si capacitors
Boehringer, M.; Pillion, J.E.; Erdmann, V.; Rygula, M.; Winz, K.; Brauchle, P.; Aquino, D.; Zhang, H.; Zahka, J.; Zielonka, G.; Hauber, J.
Konferenzbeitrag
Conference Paper
2001 Optical simulation of 3D Mask effects
Rosenbusch, A.; Erdmann, A.; Friedrich, C.
Zeitschriftenaufsatz
Journal Article
2001 Benchmarking of available rigorous electromagnetic field (EMF) simulators for phase-shift mask applications
Kalus, C.; List, S.; Erdmann, A.; Gordon, R.; McCallum, M.; Semmler, A.
Zeitschriftenaufsatz
Journal Article
2001 Automatic resist parameter calibration procedure for lithography simulation
Tollkuehn, B.; Hoepfl, M.; Erdmann, A.; Majoni, S.; Jess, M.
Konferenzbeitrag
Conference Paper
2001 Topography effects and wave aberrations in advanced PSM technology
Erdmann, A.
Konferenzbeitrag
Conference Paper
2001 Trace analysis for 300 mm wafers and processes with total- reflection x-ray-fluorescence
Nutsch, A.; Erdmann, V.; Zielonka, G.; Pfitzner, L.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica