Publications Semiconductor Devices

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2023 Intragranular thermal fatigue of Cu thin films: Near-grain boundary hardening, strain localization and voiding
Hlushko, K.; Ziegelwanger, T.; Reisinger, M.; Todt, J.; Meindlhumer, M.; Beuer, Susanne; Rommel, Mathias; Greving, I.; Flenner, S.; Kopeček, J.; Keckes, J.; Detlefs, C.; Yildirim, C.
Zeitschriftenaufsatz
Journal Article
2023 Towards SiC-Based VUV Pin-Photodiodes - Investigations on 4H-SiC Photodiodes with Shallow Implanted Al Emitters
Schraml, Michael; Papathanasiou, Niklas; May, Alexander; Weiss, Tilman; Erlbacher, Tobias
Zeitschriftenaufsatz
Journal Article
2023 Investigating HCI and BTI degradation in 4H-SiC CMOS
Lange, André; Winkler, Sophie; Ortstein, Katrin; May, Alexander; Rommel, Mathias
Poster
2023 CMOS-compatible manufacturability of sub-15 nm Si/SiO2/Si nanopillars containing single Si nanodots for single electron transistor applications
Borany, Johannes von; Engelmann, Hans-Jürgen; Heinig, Karl-Heinz; Amat, Esteve; Hlawacek, Gregor; Klüpfel, Fabian J.; Hübner, René; Möller, Wolfhard; Pourteau, Marie-Line; Rademaker, Guido; Rommel, Mathias; Baier, Leander; Pichler, Peter; Perez-Murano, Francesc; Tiron, Raluca
Zeitschriftenaufsatz
Journal Article
2023 Ferroelectric Content-Addressable Memory Cells with IGZO Channel: Impact of Retention Degradation on the Multibit Operation
Sk, Masud Rana; Thunder, Sunanda; Lehninger, David; Raffel, Yannick; Lederer, Maximilian; Jank, Michael; Kämpfe, Thomas; De, Sourav; Chakrabarti, Bhaswar
Zeitschriftenaufsatz
Journal Article
2023 Growth and characterization of thorium-doped calcium fluoride single crystals
Beeks, Kjeld; Sikorsky, Tomas; Rosecker, Veronika; Pressler, Martin; Schaden, Fabian; Werban, David; Hosseini, Niyusha Lajevardi; Rudischer, Lukas; Schneider, Felix; Berwian, Patrick; Friedrich, Jochen; Hainz, Dieter; Welch, Jan Matthew; Sterba, Johannes H.; Kazakov, George A.; Schumm, Thorsten
Zeitschriftenaufsatz
Journal Article
2023 A Highly Linear Temperature Sensor Operating up to 600°C in a 4H-SiC CMOS Technology
Mo, Jiarui; Li, Jinglin; Zhang, Yaqian; Romijn, Joost; May, Alexander; Erlbacher, Tobias; Zhang, Guoqi; Vollebregt, Sten
Zeitschriftenaufsatz
Journal Article
2023 Author Correction: Highly accurate determination of heterogeneously stacked Van-der-Waals materials by optical microspectroscopy (Scientific Reports, (2020), 10, 1, (13676), 10.1038/s41598-020-70580-3)
Hutzler, Andreas; Fritsch, Birk; Matthus, Christian David; Jank, Michael; Rommel, Mathias
Erratum
2023 Tailoring the Acidity of Liquid Media with Ionizing Radiation: Rethinking the Acid-Base Correlation beyond pH
Fritsch, Birk; Körner, Andreas; Couasnon, Thaïs; Blukis, Roberts; Taherkhani, Mehran; Benning, Liane G.; Jank, Michael; Spiecker, Erdmann; Hutzler, Andreas
Zeitschriftenaufsatz
Journal Article
2023 Increasing flow rates in polydimethylsiloxane-based deterministic lateral displacement devices for sub-micrometer particle separation
Marhenke, Julius; Dirnecker, Tobias; Vogel, Nicolas; Rommel, Mathias
Zeitschriftenaufsatz
Journal Article
2023 Correlating Optical Microspectroscopy with 4×4 Transfer Matrix Modeling for Characterizing Birefringent Van der Waals Materials
Schwarz, Julian; Niebauer, Michael; Koleśnik‐Gray, Maria; Szabo, Maximilian; Baier, Leander; Chava, Phanish; Erbe, Artur; Krstić, Vojislav; Rommel, Mathias; Hutzler, Andreas
Zeitschriftenaufsatz
Journal Article
2023 Unconventional conductivity increase in multilayer black phosphorus
Koleśnik-Gray, Maria M.; Meingast, Laura; Siebert, Martin; Unbehaun, Tim; Huf, Tobias; Ellrott, Günter; Abellán, G.; Wild, Stefan; Lloret, Vicent; Mundloch, Udo; Schwarz, Julian; Niebauer, Michael; Szabo, Maximilian; Rommel, Mathias; Hutzler, Andreas; Hauke, Frank; Hirsch, Andreas; Krstić, Vojislav
Zeitschriftenaufsatz
Journal Article
2023 A Modeling of 4H-SiC Super-Junction MOSFETs with Filtered High Energy Implantation
Lim, Minwho; Csato, Constantin; Förthner, Julietta; Rusch, Oleg; Ehrensberger, Kevin; Kupfer, Barbara; Beuer, Susanne; Oertel, Susanne; Byun, Dong-Wook; Kim, Seongjun; Koo, Sang-Mo; Shin, Hoon-Kyu; Erlbacher, Tobias
Zeitschriftenaufsatz
Journal Article
2023 Optimization over decision trees: a case study for the design of stable direct-current electricity networks
Gutina, Daria; Bärmann, Andreas; Roeder, Georg; Schellenberger, Martin; Liers, Frauke
Zeitschriftenaufsatz
Journal Article
2023 A DC SPICE Level 3 Model for 4H-SiC lateral NMOSFET under strong inversion conditions
Rinaldi, Nicola; Licciardo, Gian Domenico; Benedetto, Luigi di; Rommel, Mathias; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2023 On the interpretation of confocal spectral depth profiling of color center and carrier concentration by photoluminescence and Raman of implanted 4H-SiC
Song, Ying; Xu, Zongwei; Rommel, Mathias
Zeitschriftenaufsatz
Journal Article
2023 Combining Full Wafer Inspection with Deep Learning to Recognize Wafers with Critical Defects
Anger, Sabrina; Yayak, Anil Bora; Roeder, Georg; Schellenberger, Martin; Sipőcz, Tamas; Alcaire, Thomas; Le Cunff, Delphine; Nagy, Máté
Konferenzbeitrag
Conference Paper
2023 Microfabricated albedo insensitive sun position sensor system in silicon carbide with integrated 3D optics and CMOS electronics
Romijn, Joost; Vollebregt, Sten; Bie, Vincent G. de; Middelburg, Luke M.; El Mansouri, Brahim; Zeijl, Henk W. van; May, Alexander; Erlbacher, Tobias; Leijtens, Johan A.P.; Zhang, Guoqi; Sarro, Pasqualina Maria
Zeitschriftenaufsatz
Journal Article
2023 Adjustable Current Limiting Function With a Monolithically Integrated SiC Circuit Breaker Device
Takamori, Taro; Wada, Keiji; Boettcher, Norman; Erlbacher, Tobias; Saito, Wataru; Nishizawa, Shinichi
Zeitschriftenaufsatz
Journal Article
2023 Goethite Mineral Dissolution to Probe the Chemistry of Radiolytic Water in Liquid-Phase Transmission Electron Microscopy
Couasnon, Thaïs; Fritsch, Birk; Jank, Michael; Blukis, Roberts; Hutzler, Andreas; Benning, Liane G.
Zeitschriftenaufsatz
Journal Article
2023 Threshold Voltage Adjustment on 4H-SiC MOSFETs Using P-Doped Polysilicon as a Gate Material
May, Alexander; Rommel, Mathias; Abbasi, Affan; Erlbacher, Tobias
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2022 Embedding Solutions for vertical SiC and GaN Power Devices
Bach, Hoang Linh; Huang, Anqi; Teng, Yue; Rauh, Hubert; Schletz, Andreas; Jank, Michael P. M.; März, Martin
Konferenzbeitrag
Conference Paper
2022 Radiolysis-Driven Evolution of Gold Nanostructures - Model Verification by Scale Bridging In Situ Liquid-Phase Transmission Electron Microscopy and X-Ray Diffraction
Fritsch, Birk; Zech, Tobias Sebastian; Bruns, Mark Peter; Körner, Andreas; Khadivianazar, Saba; Wu, Mingjian; Zargar Talebi, Neda; Virtanen, Sannakaisa; Unruh, Tobias; Jank, Michael; Spiecker, Erdmann; Hutzler, Andreas
Zeitschriftenaufsatz
Journal Article
2022 Aluminum Activation in 4H-SiC Measured on Laterally Contacted MOS Capacitors with a Buried Current-Spreading Layer
Mletschnig, Kristijan Luka; Rommel, Mathias; Pobegen, Gregor; Schustereder, Werner; Pichler, Peter
Konferenzbeitrag
Conference Paper
2022 Visible Blind Quadrant Sun Position Sensor in a Silicon Carbide Technology
Romijn, Joost; Vollebregt, Sten; May, Alexander; Erlbacher, Tobias; Zeijl, Henk W. van; Leijtens, Johan; Zhang, Guoqi; Sarro, Pasqualina M.
Konferenzbeitrag
Conference Paper
2022 Integrated 64 pixel UV image sensor and readout in a silicon carbide CMOS technology
Romijn, Joost; Vollebregt, Sten; Middelburg, Luke M.; Mansouri, Brahim El; Zeijl, Henk W. van; May, Alexander; Erlbacher, Tobias; Leijtens, Johan A.P.; Zhang, Guoqi; Sarro, Pasqualina Maria
Zeitschriftenaufsatz
Journal Article
2022 Integrated Digital and Analog Circuit Blocks in a Scalable Silicon Carbide CMOS Technology
Romijn, J.; Vollebregt, S.; Middelburg, L.M.; El Mansouri, B.; Zeijl, H.W. van; May, Alexander; Erlbacher, Tobias; Zhang, G.; Sarro, P.M.
Zeitschriftenaufsatz
Journal Article
2022 Ultrathin and flexible sensors for pressure and temperature monitoring inside battery cells
Dreher, Vincent; Joch, Daniel; Kren, Harald; Schwarberg, Jannik H.; Jank, Michael P.M.
Konferenzbeitrag
Conference Paper
2022 Low-Temperature and UV Irradiation Effect on Transformation of Zirconia -MPS nBBs-Based Gels into Hybrid Transparent Dielectric Thin Films
Musat, V.; Herbei, E.E.; Anghel, E.M.; Jank, Michael; Oertel, Susanne; Timpu, D.; Frangu, L.
Zeitschriftenaufsatz
Journal Article
2022 Fabrication Aspects and Switching Performance of a Self-Sensing 800 V SiC Circuit Breaker Device
Boettcher, Norman; Takamori, Taro; Wada, Keiji; Saito, Wataru; Nishizawa, Shin-ichi; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2022 Cognitive Power Electronics - An Enabler for Smart Systems
Schellenberger, Martin; Lorentz, Vincent; Eckardt, Bernd
Konferenzbeitrag
Conference Paper
2022 Cognitive Power Electronics for Smart Drives in Unmanned Aerial Vehicles
Huf, Tobias; Roeder, Georg; Schellenberger, Martin; Lorentz, Vincent R.H.; Steinmetz, Harm-Friedrich
Konferenzbeitrag
Conference Paper
2022 Sub-Kelvin thermometry for evaluating the local temperature stability within in situ TEM gas cells
Fritsch, Birk; Wu, Mingjian; Hutzler, Andreas; Zhou, Dan; Spruit, Ronald; Vogl, Lilian; Will, Johannes; Perez Garza, H. Hugo; März, Martin; Jank, Michael; Spiecker, Erdmann
Zeitschriftenaufsatz
Journal Article
2022 Integration of a humidity sensor with power electronic applications
Chen, Weiyi; Berwald, Alexander; Hauke, Alicia; Zimmermann, Victoria; Bayer, Christoph; Jank, Michael
Konferenzbeitrag
Conference Paper
2022 A Study of High Resistivity Semi-Insulating 4H-SiC Epilayers Formed via the Implantation of Germanium and Vanadium
Renz, A.B.; Vavasour, O.J.; Rommel, Mathias; Baker, G.W.C.; Gammon, P.M.; Dai, T.; Li, F.; Antoniou, M.; Mawby, P.A.; Shah, V.A.
Konferenzbeitrag
Conference Paper
2022 Proven Power Cycling Reliability of SmartSiC™ Substrate for Power Devices
Guiot, Eric; Picun, Gonzalo; Allibert, Frederic; Leib, Jürgen; Becker, Tom; Schwarzenbach, Walter; Drouin, Alexis; Béthoux, Jean-Marc; Widiez, Julie; Rouchier, Severin; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2022 Homoepitaxial growth and defect characterization of 4H-SiC
Kallinger, Birgit; Kranert, Christian; Schade, Zara Mercedes; Rommel, Mathias; Berwian, Patrick
Meeting Abstract
2022 Via Size-Dependent Properties of TiAl Ohmic Contacts on 4H-SiC
May, Alexander; Rommel, Mathias; Beuer, Susanne; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2022 Mechanisms of ohmic contact formation of Ti/Al-based metal stacks on p-doped 4H-SiC
Kocher, Matthias; Rommel, Mathias; Michalowski, P.; Erlbacher, Tobias
Zeitschriftenaufsatz
Journal Article
2022 CMOS compatible manufacturing of a hybrid SET-FET circuit
Moral, Alberto del; Amat, E.; Engelmann, Hans-Jürgen; Pourteau, Marie-Line; Rademaker, Guido; Quirion, D.; Torres-Herrero, N.; Rommel, Mathias; Heinig, Karl Heinz H.; Borany, Johannes von; Tiron, Raluca; Bausells, Joan; Perez-Murano, Francesc
Zeitschriftenaufsatz
Journal Article
2022 Influence of Non-Stoichiometric Silicon Nitride Layer Thickness on Electrical Properties and Manufacturability of 900 V Silicon RC-Snubbers
Becker, Tom; Böttcher, Norman; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2022 Adjustable Current Limit Feature with a Self-Sensing and Self-Triggering Monolithically Integrated SiC Circuit Breaker Device
Takamori, Taro; Wada, Keiji; Böttcher, Norman; Erlbacher, Tobias; Saito, Wataru; Nishizawa, Shinichi
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2021 Reliability of Silicon-Nitride based High-Voltage Monolithic Capacitors
Becker, Tom; Matlok, Stefan; Heckel, Thomas; Böttcher, Norman; Leib, Jürgen; Erlbacher, Tobias
Vortrag
Presentation
2021 MD simulation of two-temperature model in ion irradiation of 3C-SiC: Effects of electronic and nuclear stopping coupling, ion energy and crystal orientation
Wu, J.; Xu, Z.; Zhao, J.; Rommel, M.; Nordlund, K.; Ren, F.; Fang, F.
Zeitschriftenaufsatz
Journal Article
2021 Frabrication and Evaluation of 4H-SiC Double Trench MOSFETs on 6-inch Wafer
Lim, Minwho; Kim, Seongjun; Rusch, Oleg; Kang, Min-Jae; Sung, Mi-Je; Kwak, Juyoung; Lee, Nam-Suk; Shin, Hoon-Kyu; Erlbacher, Tobias; Bauer, Anton
Vortrag
Presentation
2021 Device Design and Process Integration of SiC Trench MOSFETs
Lim, Minwho; Rusch, Oleg; Erlbacher, Tobias; Bauer, Anton
Vortrag
Presentation
2021 Accessing local electron-beam induced temperature changes during In situ liquid-phase transmission electron microscopy
Fritsch, B.; Hutzler, A.; Wu, M.; Khadivianazar, S.; Vogl, L.; Jank, M.; März, M.; Spiecker, E.
Zeitschriftenaufsatz
Journal Article
2021 Fully convolutional networks for chip-wise defect detection employing photoluminescence images
Stern, M.L.; Schellenberger, M.
Zeitschriftenaufsatz
Journal Article
2021 MD simulation study on defect evolution and doping efficiency of p-type doping of 3C-SiC by Al ion implantation with subsequent annealing
Wu, J.; Xu, Z.; Liu, L.; Hartmaier, A.; Rommel, M.; Nordlund, K.; Wang, T.; Janisch, R.; Zhao, E.
Zeitschriftenaufsatz
Journal Article
2021 Monolithisch integrierter Lasttrennschalter in SiC JFET Technologie
Boettcher, Norman; Erlbacher, Tobias
Vortrag
Presentation
2021 Smart Platform for Rapid Prototyping: Solutions in the Dilemma of Flexibility and Standardization
Anger, Sabrina; Klingert, Felix; Häublein, Volker; Pfeffer, Markus; Schellenberger, Martin
Konferenzbeitrag
Conference Paper
2021 A Monolithically Integrated SiC Circuit Breaker
Böttcher, Norman; Erlbacher, Tobias
Zeitschriftenaufsatz
Journal Article
2021 From Cyclopentasilane to Thin-Film Transistors
Gerwig, M.; Ali, A.S.; Neubert, D.; Polster, S.; Bohme, U.; Franze, G.; Rosenkranz, M.; Popov, A.; Ponomarev, I.; Jank, M.; Vieweger, C.; Brendler, E.; Frey, L.; Kroll, P.; Kroke, E.
Zeitschriftenaufsatz
Journal Article
2021 Process-based Modeling of 4H-SiC Double-trench MOSFETs with Reshaped Trench Geometries
Lim, Minwho; Rusch, Oleg; Erlbacher, Tobias; Beuer, Susanne; Rommel, Mathias; Bauer, Anton; Kim, S.; Kang, M.; Shin, H.-K.
Poster
2021 Experimental wafer carrier contamination analysis and monitoring in fully automated 300 mm power production lines
Zängle, C.; Pfeffer, M.; Franze, P.; Schneider, G.; Bauer, A.
Konferenzbeitrag
Conference Paper
2021 Modelling the Radiolysis of Silver Nitrate Solutions in presence of Bromide Ions in Liquid-Phase Transmission Electron Microscopy
Taherkhani, Mehran; Fritsch, Birk; Jank, Michael; Spiecker, Erdmann; Hutzler, Andreas
Zeitschriftenaufsatz
Journal Article
2021 SiC for Power Electronics and More - 150mm and 200mm Technologies on the Move
Erlbacher, Tobias
Vortrag
Presentation
2021 A Fully Integrated Ferroelectric Thin-Film-Transistor - Influence of Device Scaling on Threshold Voltage Compensation in Displays
Lehninger, D.; Ellinger, M.; Ali, T.; Li, S.; Mertens, K.; Lederer, M.; Olivio, R.; Kampfe, T.; Hanisch, N.; Biedermann, K.; Rudolph, M.; Brackmann, V.; Sanctis, C.; Jank, M.; Seidel, K.
Zeitschriftenaufsatz
Journal Article
2021 Beam-induced heating at low electron fluxes during liquid phase transmission electron microscopy
Fritsch, Birk; Hutzler, Andreas; Wu, Mingjian; Vogl, Lilian; Jank, Michael; März, Martin; Spiecker, Erdmann
Zeitschriftenaufsatz
Journal Article
2021 Lifetime limiting defects in 4H-SiC epitaxial layers: The influence of substrate originated defects
Erlekampf, Jürgen; Rommel, Mathias; Rosshirt-Lilla, Katharina; Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Erlbacher, Tobias
Zeitschriftenaufsatz
Journal Article
2021 Molecular dynamics simulation of color centers in silicon carbide by helium and dual ion implantation and subsequent annealing
Fan, Y.; Song, Y.; Xu, Z.; Dong, B.; Wu, J.; Rommel, Mathias; Zhang, K.; Zhao, J.; Zhu, R.; Li, B.; Li, Q.; Fang, F.
Zeitschriftenaufsatz
Journal Article
2021 SiC Power MOS technology evolution. Sustainable and efficient energy conversion in DC grids
Erlbacher, Tobias
Vortrag
Presentation
2021 Doping-related photoluminescence spectroscopy in 4H-SiC
Kallinger, Birgit; Schlichting, Holger; Kocher, Matthias; Rommel, Mathias; Berwian, Patrick
Poster
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2020 Elektrisches Kabel oder elektrische Litze sowie drahtförmiges elektrisches Verbindungselement mit halbleitendem Funktionsabschnitt
Bayer, Christoph; Schletz, Andreas; Erlbacher, Tobias
Patent
2020 Photoluminescence and Raman Spectroscopy Study on Color Centers of Helium Ion-Implanted 4H-SiC
Song, Ying; Xu, Zongwei; Li, Rongrong; Wang, Hong; Fan, Yexin; Rommel, Mathias; Liu, Jiayu; Astakhov, Georgy V.; Hlawacek, Gregor; Li, Bingsheng; Xu, Jun; Fang, Fengzhou
Zeitschriftenaufsatz
Journal Article
2020 Integrated Passive Devices and Switching Circuit Design for a 3D DC/DC Converter up to 60 V
Saponara, S.; Ciarpi, G.; Erlbacher, Tobias; Rattmann, Gudrun
Zeitschriftenaufsatz
Journal Article
2020 Highly accurate determination of heterogeneously stacked Van-der-Waals materials by optical microspectroscopy
Hutzler, Andreas; Fritsch, Birk; Matthus, Christian D.; Jank, Michael P.M.; Rommel, Mathias
Zeitschriftenaufsatz
Journal Article
2020 RESURF n-LDMOS Transistor for Advanced Integrated Circuits in 4H-SiC
Weiße, J.; Matthus, C.; Schlichting, H.; Mitlehner, H.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2020 Smart Platform for Rapid Prototyping: A First Solution Approach to Improve Time-to-Market and Process Control in Low-Volume Device Fabrication
Schellenberger, M.; Anger, S.; Pfeffer, M.; Häublein, V.; Roeder, G.; Bauer, A.
Konferenzbeitrag
Conference Paper
2020 Recent progress of high temperature vapor phase epitaxy for the growth of GaN layers - Controlled coalescence of nucleation layers
Schneider, T.; Förste, M.; Lukin, G.; Fischer, P.; Barchuk, M.; Schimpf, C.; Niederschlag, E.; Pätzold, O.; Rafaja, D.; Stelter, M.
Zeitschriftenaufsatz
Journal Article
2020 Nanocutting mechanism of 6H-SiC investigated by scanning electron microscope online observation and stress-assisted and ion implant-assisted approaches
Xu, Zongwei; Liu, Lei; He, Zhongdu; Tian, Dongyu; Hartmaier, Alexander; Zhang, Junjie; Luo, Xichun; Rommel, Mathias; Nordlund, Kai; Zhang, Guoxiong; Fang, Fengzhou
Zeitschriftenaufsatz
Journal Article
2020 Design Considerations on a Monolithically Integrated, Self Controlled and Regenerative 900 V SiC Circuit Breaker
Böttcher, Norman; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2020 Cognitive Power Electronics for Intelligent Drive Technology
Roeder, Georg; Liu, Xinjun; Hofmann, Maximilian; Schellenberger, Martin; Hilpert, Florian; März, Martin
Konferenzbeitrag
Conference Paper
2020 Sub-20 nm multilayer nanopillar patterning for hybrid SET/CMOS integration
Porteau, M.-L.; Gharbi, A.; Brianceau, P.; Dallery, J.-A.; Laulagnet, F.; Rademaker, G.; Tiron, R.; Engelmann, Hans-Jürgen; Borany, Johannes von; Heinig, Karl-Heinz; Rommel, Mathias; Baier, Leander
Zeitschriftenaufsatz
Journal Article
2020 Leistungsmodul mit unipolarem Halbleiterbauelement für hohe Lebensdauer
Erlbacher, Tobias; Schletz, Andreas
Patent
2020 The impact of dislocations on AlGaN/GaN Schottky diodes and on gate failure of high electron mobility transistors
Besendörfer, S.; Meissner, E.; Medjoub, F.; Derluyn, J.; Friedrich, J.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2020 Perspectives and tradeoffs of absorber materials for high NA EUV lithography
Erdmann, A.; Mesilhy, H.; Evanschitzky, P.; Philipsen, V.; Timmermans, F.; Bauer, M.
Zeitschriftenaufsatz
Journal Article
2020 Density functional theory calculation of the properties of carbon vacancy defects in silicon carbide
Wang, X.; Zhao, J.; Xu, Z.; Djurabekova, F.; Rommel, M.; Song, Y.; Fang, F.
Zeitschriftenaufsatz
Journal Article
2020 Interplay between C-doping, threading dislocations, breakdown, and leakage in GaN on Si HEMT structures
Besendörfer, S.; Meissner, E.; Zweipfennig, T.; Yacoub, H.; Fahle, D.; Behmenburg, H.; Kalisch, H.; Vescan, A.; Friedrich, J.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2020 Reducing On-Resistance for SiC Diodes by Thin Wafer and Laser Anneal Technology
Rusch, Oleg; Hellinger, Carsten; Moult, Jonathan; Corcoran, Yunji; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2020 Vertical breakdown of GaN on Si due to V-pits
Besendörfer, S.; Meissner, E.; Tajalli, A.; Meneghini, M.; Freitas, J.A.; Derluyn, J.; Medjdoub, F.; Meneghesso, G.; Friedrich, J.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2020 Pre-Deposition Interfacial Oxidation and Post-Deposition Interface Nitridation of LPCVD TEOS Used as Gate Dielectric on 4H-SiC
Lim, Minwho; Sledziewski, Tomasz; Rommel, Mathias; Erlbacher, Tobias; Kim, Hong-Ki; Kim, Seongjun; Shin, Hoon-Kyu; Bauer, Anton J.
Konferenzbeitrag
Conference Paper
2020 Low-Resistance Ohmic Contact Formation by Laser Annealing of N-Implanted 4H-SiC
Hellinger, Carsten; Rusch, Oleg; Rommel, Mathias; Bauer, Anton J.; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2020 RC-Snubberglied mit hoher Spannungsfestigkeit
Erlbacher, Tobias; Schletz, Andreas; Rattmann, Gudrun
Patent
2020 Screen-Printed Sensor for Low-Cost Chloride Analysis in Sweat for Rapid Diagnosis and Monitoring of Cystic Fibrosis
Hauke, A.; Oertel, S.; Knoke, L.; Fein, V.; Maier, C.; Brinkmann, F.; Jank, M.P.M.
Zeitschriftenaufsatz
Journal Article
2020 Real embedding process of SiC devices in a monolithic ceramic package using LTCC technology
Lenz, Christian; Ziesche, Steffen; Schletz, Andreas; Bach, Hoang Linh; Erlbacher, Thomas
Konferenzbeitrag
Conference Paper
2020 SiC MOSFET with a Self-Aligned Channel Defined by Shallow Source-JFET Implantation: A Simulation Study
Sledziewski, T.; Erlbacher, T.
Konferenzbeitrag
Conference Paper
2020 Impact of the transition region between active area and edge termination on electrical performance of SiC MOSFET
Liu, S.; Cheng, X.; Zheng, L.; Sledziewski, T.; Erlbacher, T.; Sheng, L.; Yu, Y.
Zeitschriftenaufsatz
Journal Article
2020 Impact of Channel Implantation on a 4H-SiC CMOS Operational Amplifier for High Temperature Applications
Albrecht, M.; Perez, D.; Martens, R.C.; Bauer, A.J.; Erlbacher, T.
Konferenzbeitrag
Conference Paper
2020 Design and fabrication of 4h-Sic Mosfets with optimized JFET and p-body design
Ni, W.; Wang, X.; Feng, C.; Xiao, H.; Jiang, L.; Li, W.; Wang, Q.; Li, M.; Schlichting, H.; Erlbacher, T.
Konferenzbeitrag
Conference Paper
2020 Beyond SIC Power Devices and Technology - Novel High Temperature SIC CMOS 1 Micron Technology
Erlbacher, T.
Vortrag
Presentation
2020 An Iterative Surface Potential Algorithm including Interface Traps for Compact Modeling of SiC-MOSFETs
Albrecht, M.; Klüpfel, F.J.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2020 Confocal photoluminescence characterization of silicon-vacancy color centers in 4H-SiC fabricated by a femtosecond laser
Liu, J.; Xu, Z.; Song, Y.; Wang, H.; Dong, B.; Li, S.; Ren, J.; Li, Q.; Rommel, M.; Gu, X.; Liu, B.; Hu, M.; Fang, F.
Zeitschriftenaufsatz
Journal Article
2020 Verfahren zur Herstellung von Halbleiterkondensatoren unterschiedlicher Kapazitätswerte in einem Halbleitersubstrat
Erlbacher, Tobias
Patent
2020 Foreword to the special issue on wide-bandgap (WBG) semiconductors: from fundamentals to applications
Xu, Z.; Tang, Y.; Rommel, M.
Zeitschriftenaufsatz
Journal Article
2020 A 4H-SiC UV Phototransistor with Excellent Optical Gain Based on Controlled Potential Barrier
Benedetto, L. di; Licciardo, G.D.; Erlbacher, T.; Bauer, A.J.; Rubino, A.
Zeitschriftenaufsatz
Journal Article
2020 Influence of Aluminum Compensation Effects in 4H-SiC on the Performance of VDMOS Transistors
Schlichting, Holger; Kocher, Matthias; Weiße, Julietta; Erlbacher, Tobias; Bauer, Anton J.
Konferenzbeitrag
Conference Paper
2020 Advancing the sensitivity of integrated epoxy-based Bragg grating refractometry by high-index nanolayers
Hessler, Steffen; Knopf, Stefan; Rommel, Mathias; Girschikofsky, Maiko; Schmauss, Bernhard; Hellmann, Ralf
Zeitschriftenaufsatz
Journal Article
2020 Influence of Shallow Pits and Device Design of 4H-SiC VDMOS Transistors on In-Line Defect Analysis by Photoluminescence and Differential Interference Contrast Mapping
Kocher, Matthias; Schlichting, Holger; Kallinger, Birgit; Rommel, Mathias; Bauer, Anton J.; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2020 Integration of Printed Electronics in Potted Power Electronic Modules
Zimmermann, Victoria; Zoerner, Alicia; Yu, Zechun; Jank, Michael; Bayer, Christoph Friedrich; Schletz, Andreas; März, Martin
Konferenzbeitrag
Conference Paper
2020 Depth Profiling of Ion-Implanted 4H-SiC Using Confocal Raman Spectroscopy
Song, Ying; Xu, Zongwei; Liu, Tao; Rommel, Mathias; Wang, Hong; Wang, Yufang; Fang, Fengzhou
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2019 Verfahren zur Bestimmung mindestens eines elektrischen oder optischen Parameters einer Vielzahl von Halbleiterchips auf einem Wafer
Lindberg, Hans; Schellenberger, Martin; Stern, Maike
Patent
2019 Improving 5V Digital 4H-SiC CMOS ICs for Operating at 400°C Using PMOS Channel Implantation
Albrecht, M.; Erlbacher, T.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2019 Pre-deposition interfacial oxidation and post-deposition interface nitridation of LPCVD TEOS used as gate dielectric on 4H-SiC
Lim, Minwho; Sledziewski, Tomasz; Rommel, Mathias; Erlbacher, Tobias; Kim, Hong-Ki; Kim, Seongjun; Shin, Hoon-Kyu; Bauer, Anton
Poster
2019 Reducing On-Resistance for SiC Diodes by Thin Wafer and Laser Anneal Technology
Rusch, O.; Hellinger, C.; Moult, J.; Corcoran, Y.; Erlbacher, T.
Poster
2019 Silicon RC-Snubber for 900 V Applications Utilising non-Stoichiometric Silicon Nitride
Boettcher, N.; Heckel, T.; Erlbacher, T.; Pelaic, K.
Konferenzbeitrag
Conference Paper
2019 NanoElectronics roadmap for Europe: From nanodevices and innovative materials to system integration
Ahopelto, J.; Ardila, G.; Baldi, L.; Balestra, F.; Belot, D.; Fagas, G.; Gendt, S. de; Demarchi, D.; Fernandez-Bolaños, M.; Holden, D.; Ionescu, A.M.; Meneghesso, G.; Mocuta, A.; Pfeffer, M.; Popp, R.M.; Sangiorgi, E.; Sotomayor Torres, C.M.
Zeitschriftenaufsatz
Journal Article
2019 Surface Characterization of Ion Implanted 4H-SiC Epitaxial Layers with Ion Energy and Concentration Variations
Kim, Hong-Ki; Kim, Seongjun; Buettner, Jonas; Lim, Minwho; Erlbacher, Tobias; Bauer, Anton J.; Koo, Sang-Mo; Lee, Nam-Suk; Shin, Hoon-Kyu
Konferenzbeitrag
Conference Paper
2019 Publisher's Note: "Aluminum acceptor activation and charge compensation in implanted p-type 4H-SiC" [AIP Advances 9, 055308 (2019)]
Weiße, J.; Hauck, M.; Krieger, M.; Bauer, A.J.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2019 Resistless Ga+ beam lithography for flexible prototyping of nanostructures
Rommel, Mathias
Vortrag
Presentation
2019 Raman Characterization of Carrier Concentrations of Al-implanted 4H-SiC with Low Carrier Concentration by Photo-Generated Carrier Effect
Liu, Tao; Xu, Zongwei; Rommel, Mathias; Wang, Hong; Song, Ying; Wang, Yufang; Fang, Fengzhou
Zeitschriftenaufsatz
Journal Article
2019 Methodology for the investigation of threading dislocations as a source of vertical leakage in AlGaN/GaN-HEMT heterostructures for power devices
Besendörfer, S.; Meissner, E.; Lesnik, A.; Friedrich, J.; Dadgar, A.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2019 Electron paramagnetic resonance characterization of aluminum ion implantation induced defects in 4H-SiC
Wang, Xiuhong; Xu, Zongwei; Rommel, Mathias; Dong, Bing; Song, Le; Tee, Clarence Augustine T.H.; Fang, Fengzhou
Zeitschriftenaufsatz
Journal Article
2019 Feasibility of 4H-SiC p-i-n diode for sensitive temperature measurements between 20.5 K and 802 K
Matthus, C.D.; Benedetto, L. di; Kocher, M.; Bauer, A.J.; Licciardo, G.D.; Rubino, A.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2019 3D-Integrated Multi-Sensor Demonstrator System for Environmental Monitoring
Köck, A.; Wimmer-Teubenbacher, R.; Sosada-Ludwikovska, F.; Rohracher, K.; Wachmann, E.; Herold, M.; Welden, T. an; Kim, J.M.; Ali, Z.; Poenninger, A.; Stahl-Offergeld, M.; Hohe, H.-P.; Lorenz, J.; Erlbacher, T.; Dolmans, G.; Offermans, P.; Vandecasteele, M.; Yurchenko, O.; Sicard, O. von; Pohle, R.; Udrea, F.; Falco, C.; Flandre, D.; Bol, D.; Comini, E.; Zappa, D.; Gardner, J.; Cole, M.; Theunis, J.; Peters, J.; Baldwin, A.
Konferenzbeitrag
Conference Paper
2019 Comparison between Ni-SALICIDE and Self-Aligned Lift-Off Used in Fabrication of Ohmic Contacts for SiC Power MOSFET
Sledziewski, Tomasz; Erlbacher, Tobias; Bauer, Anton J.; Frey, Lothar; Chen, Ximing; Zhao, Yanli; Li, Chengzhan; Dai, Xiaoping
Konferenzbeitrag
Conference Paper
2019 SiC MOSFET with a self-aligned channel defined by shallow source-JFET implantation: A simulation study
Sledziewski, Tomasz; Erlbacher, Tobias
Poster
2019 In Situ Liquid Cell TEM Studies on Etching and Growth Mechanisms of Gold Nanoparticles at a Solid-Liquid-Gas Interface
Hutzler, A.; Fritsch, B.; Jank, M.P.M.; Branscheid, R.; Martens, R.C.; Spiecker, E.; März, M.
Zeitschriftenaufsatz
Journal Article
2019 UV-Strahlungssensor auf Basis von Diamant
Erlbacher, Tobias
Patent
2019 Influence of shallow pits and device design of 4H-SiC VDMOS transistors on in-line defect analysis by using PL scanning
Kocher, Matthias; Schlichting, Holger; Kallinger, Birgit; Rommel, Mathias; Bauer, Anton J.; Erlbacher, Tobias
Poster
2019 Performance of 4H-SiC Bipolar Diodes as Temperature Sensor at Low Temperatures
Benedetto, L. di; Matthus, C.D.; Erlbacher, T.; Bauer, A.J.; Licciardo, G.D.; Rubino, A.; Frey, L.
Konferenzbeitrag
Conference Paper
2019 Determination of Compensation Ratios of Al-Implanted 4H-SiC by TCAD Modelling of TLM Measurements
Kocher, Matthias; Yao, Boteng; Weisse, Julietta; Rommel, Mathias; Xu, Zong Wei; Erlbacher, Tobias; Bauer, Anton J.
Konferenzbeitrag
Conference Paper
2019 Design and fabrication of 3300V 100mO 4H-SiC MOSFET with Stepped p-body structure
Ni, W.; Wang, X.; Xu, M.; Li, M.; Feng, C.; Xiao, H.; Li, W.; Wang, Q.; Schlichting, H.; Erlbacher, T.
Konferenzbeitrag
Conference Paper
2019 Hochvolt-Kondensator zur Integration in elektrische Leistungsmodule sowie Verfahren zur Herstellung
Erlbacher, Tobias
Patent
2019 Process and design optimization of SiC MOSFET for low on-state resistance
Sledziewski, Tomasz; Erlbacher, Tobias; Bauer, Anton
Vortrag
Presentation
2019 1700V 34mO 4H-SiC MOSFET With Retrograde Doping in Junction Field-Effect Transistor Region
Ni, W.; Wang, X.; Xiao, H.; Xu, M.; Li, M.; Schlichting, H.; Erlbacher, T.
Konferenzbeitrag
Conference Paper
2019 TSV-based passive networks for monolithic integration in smartpower ICS for automotive applications
Erlbacher, Tobias; Rattmann, Gudrun
Vortrag
Presentation
2019 Deeper insight into lifetime-engineering in 4H-SiC by ion implantation
Erlekampf, J.; Kallinger, B.; Weiße, J.; Rommel, M.; Berwian, P.; Friedrich, J.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2019 Low-resistance ohmic contact formation by laser annealing of N-implanted 4H-SiC
Hellinger, Carsten; Rusch, Oleg; Rommel, Mathias; Bauer, Anton J.; Erlbacher, Tobias
Poster
2019 Surface-enhanced Raman scattering on nanodiamond-derived carbon onions
Song, Ying; Xu, Zongwei; Rosenkranz, Andreas; Rommel, Mathias; Shi, Changkun; Fang, Fengzhou
Zeitschriftenaufsatz
Journal Article
2019 Design of a 4H-SiC RESURF n-LDMOS Transistor for High Voltage Integrated Circuits
Weisse, Julietta; Mitlehner, Heinz; Frey, Lothar; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2019 Ohmic Contact Mechanism for Ni/C-Faced 4H-n-SiC Substrate
Kim, Seongjun; Kim, Hong-Ki; Lim, Minwho; Jeong, Seonghoon; Kang, Min-Jae; Kang, Min-Sik; Lee, Nam-Suk; Coung, Tran Viet; Kim, Hyunsoo; Erlbacher, Tobias; Bauer, Anton J.; Shin, Hoon-Kyu
Zeitschriftenaufsatz
Journal Article
2019 On the Origin of Charge Compensation in Aluminum-Implanted n-Type 4H-SiC by Analysis of Hall Effect Measurements
Weisse, Julietta; Hauck, Martin; Sledziewski, Tomasz; Krieger, Michael; Bauer, Anton J.; Mitlehner, Heinz; Frey, Lothar; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2019 Elektrische Schaltungsanordnung mit einer aktiven Entladeschaltung
Erlbacher, Tobias; Matlok, Stefan
Patent
2019 Heteroepitaxial growth of GaN on sapphire substrates by high temperature vapor phase epitaxy
Lukin, G.; Schneider, T.; Förste, M.; Barchuk, M.; Schimpf, C.; Röder, C.; Zimmermann, F.; Niederschlag, E.; Pätzold, O.; Beyer, F.C.; Rafaja, D.; Stelter, M.
Zeitschriftenaufsatz
Journal Article
2019 Influence of Trench Design on the Electrical Properties of 650V 4H-SiC JBS Diodes
Rusch, Oleg; Moult, Jonathan; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2019 First Experimental Test on Bipolar Mode Field Effect Transistor Prototype in 4H-SiC. A Proof of Concept
Benedetto, L. di; Licciardo, G.D.; Huerner, A.; Erlbacher, T.; Bauer, A.J.; Rubino, A.
Konferenzbeitrag
Conference Paper
2019 Monolithisch integrierter Halbleiterschalter, insbesondere Leistungstrennschalter
Erlbacher, Tobias; Hürner, Andreas
Patent
2019 Preparation of graphene-supported microwell liquid cells for in situ transmission electron microscopy
Hutzler, A.; Fritsch, B.; Jank, M.P.M.; Branscheid, R.; Spiecker, E.; März, M.
Zeitschriftenaufsatz
Journal Article
2019 A TCAD Process Model with Monte Carlo Ion Implantation for 4H-SiC JBS Diode Analysis and Design
Büttner, J.; Beuer, Susanne; Petersen, S.; Rommel, Mathias; Erlbacher, T.; Bauer, A.
Poster
2019 Technological advances towards 4H-SiC JBS diodes for wind power applications
Buettner, Jonas; Erlbacher, Tobias; Bauer, Anton
Konferenzbeitrag
Conference Paper
2019 Design Considerations for Robust Manufacturing and High Yield of 1.2 kV 4H-SiC VDMOS Transistors
Schlichting, H.; Sledziewski, T.; Bauer, A.J.; Erlbacher, T.
Konferenzbeitrag
Conference Paper
2019 Large-Area Layer Counting of Two-Dimensional Materials Evaluating the Wavelength Shift in Visible-Reflectance Spectroscopy
Hutzler, Andreas; Matthus, Christian D.; Dolle, Christian; Rommel, Mathias; Jank, Michael P.M.; Spiecker, Erdmann; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2019 Influence of Aluminum Compensation Effects in 4H-SiC on the Performance of VDMOS Transistors
Schlichting, Holger; Kocher, Matthias; Weiße, Julietta; Erlbacher, Tobias; Bauer, Anton J.
Poster
2019 On a Novel Source Technology for Deep Aluminum Diffusion for Silicon Power Electronics
Rattmann, Gudrun; Pichler, Peter; Erlbacher, Tobias
Zeitschriftenaufsatz
Journal Article
2019 Characterization of a silicon carbide BCD process for 300°C circuits
Abbasi, A.; Roy, S.; Murphree, R.; Rashid, A.-U.; Hossain, M.M.; Lai, P.; Fraley, J.; Erlbacher, T.; Chen, Z.; Mantooth, A.
Konferenzbeitrag
Conference Paper
2019 Lifetime engineering in 4H-SiC materials and devices
Rommel, Mathias; Erlekampf, Jürgen; Kallinger, Birgit; Weiße, Julietta; Berwian, Patrick; Friedrich, Jochen; Erlbacher, Tobias
Vortrag
Presentation
2019 Decoration of Al Implantation Profiles in 4H-SiC by Bevel Grinding and Dry Oxidation
Kocher, Matthias; Erlbacher, Tobias; Rommel, Mathias; Bauer, Anton J.
Konferenzbeitrag
Conference Paper
2019 Aluminum acceptor activation and charge compensation in implanted p-type 4H-SiC
Weiße, J.; Hauck, M.; Krieger, M.; Bauer, A.J.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2019 Attenuated phase shift mask for extreme ultraviolet: Can they mitigate three-dimensional mask effects?
Erdmann, A.; Evanschitzky, P.; Mesilhy, H.; Philipsen, V.; Hendrickx, E.; Bauer, M.
Zeitschriftenaufsatz
Journal Article
2019 SIC device manufacturing using ion implantation. Opportunities and challenges
Erlbacher, Tobias
Vortrag
Presentation
2019 Wavelength-selective 4H-SiC UV-sensor array
Matthus, C.D.; Bauer, A.J.; Frey, L.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2019 Channeling in 4H-SiC from an Application Point of View
Pichler, Peter; Sledziewski, Tomasz; Häublein, Volker; Bauer, Anton J.; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2019 Depth profiling of ion-implanted 4H-SiC using confocal Raman spectroscopy
Song, Ying; Xu, Zongwei; Liu, Tao; Rommel, Mathias; Wang, Hong; Fang, Fengzhou
Poster
2019 Raman Spectroscopy Characterization of Ion Implanted 4H-SiC and its Annealing Effects
Xu, Zongwei; Song, Ying; Rommel, Mathias; Liu, T.; Kocher, Matthias; He, Z.D.; Wang, H.; Yao, B.T.; Liu, L.; Fang, Fengzhou
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2018 Dose dependent profile deviation of implanted aluminum in 4H-SiC during high temperature annealing
Kocher, Matthias; Rommel, Mathias; Sledziewski, Tomasz; Häublein, Volker; Bauer, Anton
Poster
2018 Advances in thermal laser separation: Process monitoring in a kerf-free laser-based cutting technology to ensure high yield
Barreto, M.C.; Roeder, G.; Steinhoff, M.; Schellenberger, M.; Bauer, A.
Zeitschriftenaufsatz
Journal Article
2018 Influence and mutual interaction of process parameters on the Z1/2 defect concentration during epitaxy of 4H-SiC
Erlekampf, Jürgen; Kaminzky, Daniel; Rosshirt, Katharina; Kallinger, Birgit; Rommel, Mathias; Berwian, Patrick; Friedrich, Jochen; Frey, Lothar
Konferenzbeitrag
Conference Paper
2018 Elektronik
Bauer, Anton; Bär, Eberhard; Erlbacher, Tobias; Friedrich, Jochen; Lorenz, Jürgen; Rommel, Mathias; Schellenberger, Martin
Aufsatz in Buch
Book Article
2018 Mass Separation Issues for the Implantation of Doubly Charged Aluminum Ions
Häublein, V.; Bauer, A.J.; Ryssel, H.; Frey, L.
Konferenzbeitrag
Conference Paper
2018 Unravelling the Mechanisms of Gold-Silver Core-Shell Nanostructure Formation by in Situ TEM Using an Advanced Liquid Cell Design
Hutzler, A.; Schmutzler, T.; Jank, M.P.M.; Branscheid, R.; Unruh, T.; Spiecker, E.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2018 Principle of lifetime-engineering in 4H-SiC by ion implantation
Erlekampf, Jürgen; Kallinger, Birgit; Berwian, Patrick; Rommel, Mathias; Friedrich, Jochen; Frey, Lothar; Erlbacher, Tobias
Poster
2018 Nano- and micro-patterned S-, H- and X-PDMS for cell-based applications: Comparison of wettability, roughness and cell-derived parameters
Scharin-Mehlmann, Marina; Häring, Aaron; Rommel, Mathias; Dirnecker, Tobias; Friedrich, Oliver; Frey, Lothar; Gilbert, Daniel F.
Zeitschriftenaufsatz
Journal Article
2018 Detailed characterisation of focused ion beam induced lateral damage on silicon carbide samples by electrical scanning probe microscopy and transmission electron microscopy
Stumpf, Florian; Abu Quba, A.A.; Singer, Philip; Rumler, Maximilian; Cherkashin, Nikolay; Schamm-Chardon, Sylvie; Cours, Robin; Rommel, Mathias
Zeitschriftenaufsatz
Journal Article
2018 Topic review: Application of raman spectroscopy characterization in micro/nano-machining
Xu, Zongwei; He, Zhongdu; Song, Ying; Fu, Xiu; Rommel, Mathias; Luo, Xichun; Hartmaier, Alexander; Zhang, Junjie; Fang, Fengzhou
Zeitschriftenaufsatz
Journal Article
2018 Large-area layer counting of 2D materials via visible reflection spectroscopy
Hutzler, Andreas; Matthus, C.D.; Dolle, C.; Rommel, M.; Jank, M.P.M.; Spiecker, E.; Frey, L.
Poster
2018 Strukturierungsverfahren
Fader, Robert; Lorenz, Jürgen; Rommel, Mathias; Baum, Mario; Danylyuk, Serhiy; Gillner, Arnold; Stollenwerk, Jochen; Bläsi, Benedikt
Aufsatz in Buch
Book Article
2018 Dose Dependent Profile Deviation of Implanted Aluminum in 4H-SiC During High Temperature Annealing
Kocher, Matthias; Rommel, Mathias; Sledziewski, Tomasz; Häublein, Volker; Bauer, Anton J.
Konferenzbeitrag
Conference Paper
2018 Novel approach based on continuous trench modelling to predict focused ion beam prepared freeform surfaces
Bilbao-Guillerna, A.; Eachambadi, R.T.; Cadot, G.B.J.; Axinte, D.A.; Billingham, J.; Stumpf, F.; Beuer, Susanne; Rommel, Mathias
Zeitschriftenaufsatz
Journal Article
2018 Influence of triangular defects on the electrical characteristics of 4H-SiC devices
Schoeck, J.; Schlichting, H.; Kallinger, B.; Erlbacher, T.; Rommel, M.; Bauer, A.J.
Konferenzbeitrag
Conference Paper
2018 Schottky diode and method for its manufacturing
Erlbacher, Tobias; Hürner, Andreas
Patent
2018 Defect functional structures of 4H-SiC and diamond induced by ion implantation: MD simulation and spectral characterization
Xu, Zongwei; Zhao, Junlei; Djurabekova, Flyura; Rommel, Mathias; Nordlund, Kai
Vortrag
Presentation
2018 Analysis of compensation effects in aluminum-implanted 4H-SiC devices
Weisse, J.; Hauck, M.; Sledziewski, T.; Tschiesche, M.; Krieger, M.; Bauer, A.; Mitlehner, H.; Frey, L.; Erlbacher, T.
Konferenzbeitrag
Conference Paper
2018 Decoration of Al implantation profiles in 4H-SiC by bevel grinding and dry oxidation
Kocher, Matthias; Erlbacher, Tobias; Rommel, Mathias; Bauer, Anton
Poster
2018 Human Sweat Analysis Using a Portable Device Based on a Screen‐printed Electrolyte Sensor
Zoerner, A.; Oertel, S.; Jank, M.P.M.; Frey, L.; Langenstein, B.; Bertsch, T.
Zeitschriftenaufsatz
Journal Article
2018 Flexible thin film bending sensor based on Bragg gratings in hybrid polymers
Girschikofsky, Maiko; Rosenberger, Manuel; Förthner, Michael; Rommel, Mathias; Frey, Lothar; Hellmann, Ralf
Konferenzbeitrag
Conference Paper
2018 Strahlungssensor zur Detektion schwacher Strahlungssignale
Erlbacher, Tobias; Matthus, Christian
Patent
2018 Design of a Power Flow Control Converter for Bipolar Meshed LVDC Distribution Grids
Purgat, P.; MacKay, L.; Schulz, M.; Han, Y.; Qin, Z.; März, M.; Bauer, P.
Konferenzbeitrag
Conference Paper
2018 Laser Surface Microstructuring of a Bio-Resorbable Polymer to Anchor Stem Cells, Control Adipocyte Morphology, and Promote Osteogenesis
Ortiz, Rocio; Aurrekoetxea-Rodríguez, Iskander; Rommel, Mathias; Quintana, Iban; Vivanco, Maria; Toca-Herrera, Jose Luis
Zeitschriftenaufsatz
Journal Article
2018 TLS-dicing for SiC - Latest assessment results
Lewke, D.; Cerezuela Barreto, M.; Dohnke, K.; Zühlke, H.-U.; Belgardt, C.; Schellenberger, M.
Konferenzbeitrag
Conference Paper
2018 Lifetime testing method for ceramic capacitors for power electronics applications
Dresel, Fabian; Tham, Nils; Erlbacher, Tobias; Schletz, Andreas
Konferenzbeitrag
Conference Paper
2018 Influence of Al doping concentration and annealing parameters on TiAl based Ohmic contacts on 4H-SiC
Kocher, Matthias; Rommel, Mathias; Erlbacher, Tobias; Bauer, Anton J.
Konferenzbeitrag
Conference Paper
2018 Determination of compensation ratios of Al-implanted 4H-SiC by TCAD modelling of TLM measurements
Kocher, Matthias; Yao, Boteng; Weisse, Julietta; Rommel, Mathias; Xu, Zongwei; Erlbacher, Tobias; Bauer, Anton
Poster
2018 The GaN trench gate MOSFET with floating islands
Shen, L.; Müller, S.; Cheng, X.; Zhang, D.; Zheng, L.; Xu, D.; Yu, Y.; Meissner, E.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2018 Analytical model for the influence of the gate-voltage on the forward conduction properties of the body-diode in SiC-MOSFETs
Huerner, A.; Heckel, T.; Enduschat, A.; Erlbacher, T.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2018 Impact of Al-ion implantation on the formation of deep defects in n-type 4H-SiC
Weiße, Julietta; Hauck, Martin; Krieger, Michael; Erlekampf, Jürgen; Mitlehner, Heinz; Bauer, Anton J.; Rommel, Mathias; Häublein, Volker; Erlbacher, Tobias; Csato, Constantin; Rüb, Michael; Akhmadaliev, Shavkat; Frey, Lothar
Konferenzbeitrag
Conference Paper
2018 Electrical properties of schottky-diodes based on B doped diamond
Erlbacher, T.; Huerner, A.; Zhu, Y.; Bach, L.; Schletz, A.; Zürbig, Verena; Pinti, Lucas; Kirste, Lutz; Giese, Christian; Nebel, Christoph E.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2018 Symmetry and structure of carbon-nitrogen complexes in gallium arsenide from infrared spectroscopy and first-principles calculations
Künneth, C.; Kölbl, S.; Wagner, H.E.; Häublein, V.; Kersch, A.; Alt, H.C.
Zeitschriftenaufsatz
Journal Article
2018 Evidence of low injection efficiency for implanted p-emitters in bipolar 4H-SiC high-voltage diodes
Matthus, C.D.; Huerner, A.; Erlbacher, T.; Bauer, A.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2018 Raman spectroscopy characterization of ion implanted 4H-SiC and its annealing effects
Xu, Zongwei; Song, Y.; Rommel, Mathias; Liu, T.; Kocher, Matthias; He, Z.D.; Wang, H.; Yao, B.T.; Liu, L.; Fang, F.Z.
Poster
2018 One-step nanoimprinted Bragg grating sensor based on hybrid polymers
Förthner, Michael; Girschikofsky, Maiko; Rumler, Maximilian; Stumpf, Florian; Rommel, Mathias; Hellmann, Ralf; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2018 On the limits of scalpel AFM for the 3D electrical characterization of nanomaterials
Chen, Shaochuan; Jiang, Lanlan; Buckwell, Mark; Jing, Xu; Ji, Yanfeng; Grustan-Gutierrez, Enric; Hui, Fei; Shi, Yuanyuan; Rommel, Mathias; Paskaleva, Albena; Benstetter, Günther; Ng, Wing. H.; Mehonic, Adnan; Kenyon, Anthony J.; Lanza, Mario
Zeitschriftenaufsatz
Journal Article
2018 Normalized differential conductance to study current conduction mechanisms in MOS structures
Nouibat, T.H.; Messai, Z.; Chikouch, D.; Ouennoughi, Z.; Rouag, N.; Rommel, Mathias; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2018 Comparative Study of 4H-SiC UV-Sensors with Ion Implanted and Epitaxially Grown p-Emitter
Matthus, C.D.; Erlbacher, T.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2018 Investigation of Ga ion implantation-induced damage in single-crystal 6H-SiC
He, Zhongdu; Xu, Zongwei; Rommel, Mathias; Yao, Boteng; Liu, Tao; Song, Ying; Fang, Fengzhou
Zeitschriftenaufsatz
Journal Article
2018 Future technology trends
Lorenz, Leo; Erlbacher, Tobias; Hilt, Oliver
Aufsatz in Buch
Book Article
2018 Heterogeneous integration of vertical GaN power transistor on Si capacitor for DC-DC converters
Yu, Zechun; Zeltner, Stefan; Boettcher, Norman; Rattmann, Gudrun; Leib, Jürgen; Bayer, Christoph Friedrich; Schletz, Andreas; Erlbacher, Tobias; Frey, Lothar
Vortrag
Presentation
2018 Defects and carrier lifetime in 4H-Silicon Carbide
Kallinger, Birgit; Erlekampf, Jürgen; Rommel, Mathias; Berwian, Patrick; Friedrich, J.; Matthus, Christian D.
Vortrag
Presentation
2018 Attenuated PSM for EUV
Erdmann, A.; Evanschitzky, P.; Mesilhy, H.; Philipsen, V.; Hendrickx, E.; Bauer, M.
Konferenzbeitrag
Conference Paper
2018 TiO2 surface functionalization of COC based planar waveguide Bragg gratings for refractive index sensing
Rosenberger, Manuel; Girschikofsky, Maiko; Förthner, Michael; Belle, Stefan; Rommel, Mathias; Frey, Lothar; Schmauß, Bernhard; Hellmann, Ralf
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2017 Influence of triangular defects on the electrical characteristics of 4H-SiC devices
Schöck, Johannes; Schlichting, Holger; Kallinger, Birgit; Erlbacher, Tobias; Rommel, Mathias; Bauer, Anton J.
Poster
2017 Switching SiC devices faster and more efficient using a DBC mounted terminal decoupling Si-RC element
Matlok, S.; Erlbacher, T.; Krach, F.; Eckardt, B.
Konferenzbeitrag
Conference Paper
2017 Determination of the selectivity of printed wearable sweat sensors
Zörner, A.; Oertel, S.; Schmitz, B.; Lang, N.; Jank, M.P.M.; Frey, L.
Konferenzbeitrag
Conference Paper
2017 Polymerization related deformations in multilayer soft stamps for nanoimprint
Förthner, Michael; Papenheim, Marc; Rumler, Maximilian; Stumpf, Florian; Baier, Leander; Rommel, Mathias; Scheer, Hella-Christin; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2017 Verfahren zur Überprüfung eines Trennschrittes bei der Zerteilung eines flachen Werkstückes in Teilstücke
Tobisch, Alexander; Schellenberger, Martin; Lewke, Dirk
Patent
2017 Investigation of high-k dielectric stacks by C-AFM: Advantages, limitations, and possible applications
Rommel, Mathias; Paskaleva, Albena
Aufsatz in Buch
Book Article
2017 Experimental verification of a self-triggered solid-state circuit breaker based on a SiC BIFET
Albrecht, M.; Hürner, A.; Erlbacher, T.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2017 Waveguide bragg gratings in Ormocer®s for temperature sensing
Girschikofsky, Maiko; Rosenberger, Manuel; Förthner, Michael; Rommel, Mathias; Frey, Lothar; Hellmann, Ralf
Zeitschriftenaufsatz
Journal Article
2017 Tiegel zur Herstellung von Silicium-Ingots, Verfahren zu dessen Herstellung sowie Silicium-Ingot
Schneider, Veronika; Reimann, Christian; Friedrich, Jochen; Sans, Jürgen; Kuczynski, Maciej
Patent
2017 Enhanced contamination control methods in advanced wafer processing
Pfeffer, M.; Richter, H.; Altmann, R.; Leibold, A.; Bauer, A.
Konferenzbeitrag
Conference Paper
2017 Resistless Ga+ beam lithography for flexible prototyping of nanostructures in different materials by reactive ion etching
Rommel, Mathias; Rumler, Maximilian; Haas, Anke; Beuer, Susanne
Vortrag
Presentation
2017 Raman micro-spectroscopy as a non-destructive key analysis tool in current power semiconductor manufacturing
Biasio, M. de; Kraft, M.; Geier, E.; Goller, B.; Bergmann, C.; Esteve, R.; Cerezuela-Barreto, M.; Lewke, D.; Schellenberger, M.; Roesner, M.
Konferenzbeitrag
Conference Paper
2017 Nanoimprinted surface relief Bragg gratings for sensor applications
Förthner, Michael; Girschikofsky, Maiko; Rumler, Maximilian; Rommel, Mathias; Hellmann, Ralf; Frey, Lothar
Poster
2017 Point contact current voltage measurements of 4H-SiC samples with different doping profiles
Kocher, Matthias; Niebauer, Michael; Rommel, Mathias; Haeublein, Volker; Bauer, Anton
Konferenzbeitrag
Conference Paper
2017 Fabrication of Bragg grating sensors in UV-NIL structured Ormocer waveguides
Girschikofsky, Maiko; Förthner, Michael; Rommel, Mathias; Frey, Lothar; Hellmann, Ralf
Konferenzbeitrag
Conference Paper
2017 Fabrication of Bragg grating sensors in UV-NIL structured Ormocer waveguides
Girschikofsky, Maiko; Förthner, Michael; Rommel, Mathias; Frey, Lothar; Hellmann, Ralf
Vortrag
Presentation
2017 Influence of Al doping concentration and annealing parameters on TiAl based ohmic contacts on 4H-SiC
Kocher, Matthias; Rommel, Mathias; Erlbacher, Tobias; Bauer, Anton
Poster
2017 System integration of printed biosensors for sweat electrolytes with data acquisition via Bluetooth to App
Oertel, S.; Jank, M.; Zörner, A.; Schmitz, B.; Lang, N.
Konferenzbeitrag
Conference Paper
2017 Generalized approach to design multi-layer stacks for enhanced optical detectability of ultrathin layers
Hutzler, Andreas; Matthus, Christian D.; Rommel, Mathias; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2017 One-step fabrication of hierarchical structures by direct laser writing through PDMS molds
Rumler, Maximilian; Förthner, Michael; Baier, Leander; Marhenke, Julius; Kollmuss, Manuel; Michel, Felix; Becker, Marco; Rommel, Mathias
Vortrag
Presentation
2017 Monolithically integrated solid-state-circuit-breaker for high power applications
Huerner, A.; Erlbacher, T.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2017 Large area manufacturing of plasmonic colour filters using substrate conformal imprint lithography
Rumler, Maximilian; Foerthner, M.; Baier, L.; Evanschitzky, P.; Becker, M.; Rommel, M.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2017 Advanced 4H-SiC p-i-n Diode as Highly Sensitive High-Temperature Sensor Up To 460 degrees C
Matthus, C.D.; Erlbacher, T.; Hess, A.; Bauer, A.J.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2017 Bulk lifetime characterization of corona charged silicon wafers with high resistivity by means of microwave detected photoconductivity
Engst, Christian R.; Rommel, Mathias; Bscheid, Christian; Eisele, Ignaz; Kutter, Christoph
Zeitschriftenaufsatz
Journal Article
2017 Implementation of 4H-SiC PiN-diodes as nearly linear temperature sensors up to 800 K towards SiC multi-sensor integration
Matthus, C.D.; Erlbacher, T.; Schöfer, B.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2017 Complex 3D structures via double imprint of hybrid structures and sacrificial mould techniques
Steinberg, Christian; Rumler, Maximilian; Runkel, Manuel; Papenheim, Marc; Wang, Si; Mayer, Andre; Becker, Marco; Rommel, Mathias; Scheer, Hella-Christin
Zeitschriftenaufsatz
Journal Article
2017 Optimization of 4H-SiC photodiodes as selective UV sensors
Matthus, C.D.; Burenkov, A.; Erlbacher, T.
Konferenzbeitrag
Conference Paper
2017 Stress reduction in high voltage MIS capacitor fabrication
Banzhaf, S.; Kenntner, J.; Grieb, M.; Schwaiger, S.; Erlbacher, T.; Bauer, A.J.; Frey, L.; Frey, L.
Konferenzbeitrag
Conference Paper
2017 Influence and mutual interaction of process parameters on the Z1/2 defect concentration during epitaxy of 4H-SiC
Erlekampf, Jürgen; Kaminzky, Daniel; Roßhirt, Katharina; Kallinger, Birgit; Rommel, Mathias; Berwian, Patrick; Friedrich, Jochen; Frey, Lothar
Poster
2017 Novel advanced analytical design tool for 4H-SiC VDMOSFET devices
Benedetto, L. di; Licciardo, G.D.; Erlbacher, T.; Bauer, A.J.; Rubino, A.
Konferenzbeitrag
Conference Paper
2017 One-step fabrication of hierarchical structures
Rumler, Maximilian; Förthner, Michael; Kollmuss, Manuel; Baier, Leander; Stumpf, Florian; Becker, Marco; Rommel, Mathias; Frey, Lothar
Poster
2017 4.5 kV SiC junction barrier schottky diodes with low leakage current and high forward current density
Schöck, Johannes; Büttner, Jonas; Rommel, Mathias; Erlbacher, Tobias; Bauer, Anton
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2016 Waveguide Bragg gratings in Ormocer hybrid polymers
Girschikofsky, Maiko; Förthner, Michael; Rommel, Mathias; Frey, Lothar; Hellmann, Ralf
Zeitschriftenaufsatz
Journal Article
2016 The efficiency of hydrogen-doping as a function of implantation temperature
Jelinek, Moriz; Laven, Johannes G.; Ganagona, Naveen Goud; Schustereder, Werner; Schulze, Hans-Joachim; Rommel, Mathias; Frey, Lothar
Konferenzbeitrag
Conference Paper
2016 Combination of direct laser writing and soft lithography molds for combined nano- and microfabrication
Rumler, Maximilian; Kollmuss, M.; Baier, L.; Michel, F.; Förthner, M.; Becker, M.; Rommel, M.; Frey, L.
Konferenzbeitrag
Conference Paper
2016 Towards highly integrated, automotive power SoCs using capacitors operating at 100 V implemented in TSV
Grünler, S.; Rattmann, G.; Erlbacher, T.; Bauer, A.J.; Krach, F.; Frey, L.
Konferenzbeitrag
Conference Paper
2016 Flexographic printing of nanoparticulate tin-doped indium oxide inks on PET foils and glass substrates
Wegener, M.; Spiehl, D.; Sauer, H.M.; Mikschl, F.; Liu, X.; Kölpin, N.; Schmidt, M.; Jank, M.P.M.; Dörsam, E.; Roosen, A.
Zeitschriftenaufsatz
Journal Article
2016 Nitride bonded silicon nitride as a reusable crucible material for directional solidification of silicon
Schneider, V.; Reimann, C.; Friedrich, J.; Müller, G.
Zeitschriftenaufsatz
Journal Article
2016 Correlation of film morphology and defect content with the charge-carrier transport in thin-film transistors based on ZnO nanoparticles
Polster, S.; Jank, M.P.M.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2016 Hybrid polymers processed by substrate conformal imprint lithography for the fabrication of planar Bragg gratings
Förthner, Michael; Rumler, Maximilian; Stumpf, Florian; Fader, Robert; Rommel, Mathias; Frey, Lothar; Girschikofsky, Maiko; Belle, Stefan; Hellmann, Ralf; Klein, Jan Jasper
Zeitschriftenaufsatz
Journal Article
2016 Optimized design for 4H-SiC power DMOSFETs
Benedetto, Luigi di; Licciardo, Gian D.; Erlbacher, Tobias; Bauer, Anton J.; Rubino, Alfredo
Zeitschriftenaufsatz
Journal Article
2016 Optimization of 4H-SiC UV photodiode performance using numerical process and device simulation
Burenkov, Alex; Matthus, Christian David; Erlbacher, Tobias
Zeitschriftenaufsatz
Journal Article
2016 Ion implantation of polypropylene films for the manufacture of thin film capacitors
Häublein, V.; Birnbaum, E.; Ryssel, H.; Frey, L.; Djupmyr, M.
Konferenzbeitrag
Conference Paper
2016 Systematic characterization of doping profiles in 4H-SiC by point contact current voltage measurements
Kocher, Matthias; Niebauer, Michael; Rommel, Mathias; Haeublein, Volker; Bauer, Anton
Poster
2016 Materials integration for printed zinc oxide thin-film transistors: Engineering of a fully-printed semiconductor/contact scheme
Liu, X.; Wegener, M.; Polster, S.; Jank, M.P.M.; Roosen, A.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2016 Post-trench processing of silicon deep trench capacitors for power electronic applications
Banzhaf, Stefanie; Schwaiger, Stefan; Erlbacher, Tobias; Bauer, Anton J.; Frey, Lothar
Konferenzbeitrag
Conference Paper
2016 Electrical properties of solution processed layers based on Ge-Si alloy nanoparticles
Meric, Z.; Mehringer, C.; Jank, M.P.M.; Peukert, W.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2016 ATHENIS-3D: Automotive tested high-voltage and embedded non-volatile integrated SoC platform with 3D technology
Wachmann, Ewald; Saponara, Sergio; Zambelli, C.; Tisserand, Pierre; Charbonnier, Jean; Erlbacher, Tobias; Grünler, Saeideh; Hartler, C.; Siegert, J.; Chassard, P.; Ton, D.M.; Ferrari, L.; Fanucci, L.
Konferenzbeitrag
Conference Paper
2016 Enhancement of the partial discharge inception voltage of DBCs by adjusting the permittivity of the encapsulation
Bayer, Christoph Friedrich; Waltrich, Uwe; Soueidan, Amal; Schneider, Richard; Bär, Eberhard; Schletz, Andreas
Konferenzbeitrag
Conference Paper
2016 Prospects and issues of nanomaterials use in microelectronics
Jank, Michael; Bauer, Anton; Frey, Lothar
Poster
2016 TiO2 nanotubes: Nitrogen-ion implantation at low dose provides noble-metal-free photocatalytic H2-evolution activity
Zhou, X.; Häublein, V.; Liu, N.; Nguyen, N.T.; Zolnhofer, E.M.; Tsuchiya, H.; Killian, M.S.; Meyer, K.; Frey, L.; Schmuki, P.
Zeitschriftenaufsatz
Journal Article
2016 Quantification and reduction of deformations in multilayer soft-NIL stamps
Förthner, Michael; Papenheim, Marc; Rumler, Maximilian; Stumpf, Florian; Baier, Leander; Rommel, Mathias; Schlachter, Florian; Hornung, Michael; Scheer, Hella-Christin; Frey, Lothar
Poster
2016 Monolithic 3D TSV-based high-voltage, high-temperature capacitors
Gruenler, S.; Rattmann, G.; Erlbacher, T.; Bauer, A.J.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2016 Simulating wafer bow for integrated capacitors using a multiscale approach
Wright, Alan; Krach, Florian; Thielen, Nils; Grünler, Saeideh; Erlbacher, Tobias; Pichler, Peter
Konferenzbeitrag
Conference Paper
2016 Metastable defects in proton implanted and annealed silicon
Jelinek, Moriz; Laven, Johannes G.; Ganagona, Naveen Goud; Job, Reinhart; Schustereder, Werner; Schulze, Hans-Joachim; Rommel, Mathias; Frey, Lothar
Konferenzbeitrag
Conference Paper
2016 Combination of direct laser writing and soft lithography molds for combined nano- and microfabrication
Rumler, Maximilian; Kollmuss, Manuel; Baier, Leander; Michel, Felix; Förthner, Michael; Becker, Marco; Rommel, Mathias; Frey, Lothar
Poster
2016 Contamination control for wafer container used within 300 mm manufacturing for power microelectronics
Schneider, G.; Nguyen, T.Q.; Taubert, M.; Bounouar, J.; Le-Guet, C.; Leibold, A.; Richter, H.; Pfeffer, M.
Konferenzbeitrag
Conference Paper
2016 Stamps for nanoimprint lithography - R&D at Fraunhofer IISB
Rommel, Mathias; Rumler, Maximilian; Förthner, Michael; Scharin, Marina; Fader, R.; Schmidt, H.
Vortrag
Presentation
2016 4.5 kV SiC junction barrier schottky diodes with low leakage current and high forward current density
Schöck, Johannes; Büttner, Jonas; Rommel, Mathias; Erlbacher, Tobias; Bauer, Anton
Poster
2016 Direct optical stress sensing in semiconductor manufacturing using raman micro-spectrometry
Biasio, M. de; Kraft, M.; Roesner, M.; Bergmann, C.; Cerezuela-Barreto, M.; Lewke, D.; Schellenberger, M.
Konferenzbeitrag
Conference Paper
2016 Conduction loss reduction for bipolar injection field-effect-transistors (BIFET)
Hürner, Andreas; Mitlehner, Heinz; Erlbacher, Tobias; Bauer, Anton J.; Frey, Lothar
Konferenzbeitrag
Conference Paper
2016 Silicon integrated RC snubbers for applications up to 900V with reduced mechanical stress and high manufacturability
Krach, Florian; Thielen, Nils; Heckel, Thomas; Bauer, Anton J.; Erlbacher, Tobias; Frey, Lothar
Konferenzbeitrag
Conference Paper
2016 Comparative spatially resolved characterization of a Czochralski-grown silicon crystal by different laser-based imaging techniques
Herms, Martin; Wagner, Matthias; Molchanov, Alexander; Rommel, Mathias; Zschorsch, Markus; Würzner, Sindy
Konferenzbeitrag
Conference Paper
2016 Potential of 4H-SiC CMOS for high temperature applications using advanced lateral p-MOSFETs
Albrecht, Matthäus; Erlbacher, Tobias; Bauer, Anton J.; Frey, Lothar
Konferenzbeitrag
Conference Paper
2016 Particle free handling of substrates
Samadi, H.; Pfeffer, M.; Altmann, R.; Leibold, A.; Gumprecht, T.; Bauer, A.
Zeitschriftenaufsatz
Journal Article
2016 A trade-off between nominal forward current density and surge current capability for 4.5kV SiC MPS diodes
Huang, Yaren; Erlbacher, Tobias; Buettner, Jonas; Wachutka, Gerhard
Konferenzbeitrag
Conference Paper
2016 A model of electric field distribution in gate oxide and JFET-region of 4H-SiC DMOSFETs
Benedetto, Luigi di; Licciardo, Gian D.; Erlbacher, Tobias; Bauer, Anton J.; Liguori, R.; Rubino, Alfredo
Zeitschriftenaufsatz
Journal Article
2016 Innovative monolithic RC-snubber for fast switching power modules
Krach, F.; Heckel, T.; Frey, L.; Bauer, A.J.; Erlbacher, T.; März, M.
Konferenzbeitrag
Conference Paper
2016 Monitoring of biomarkers in sweat with printed sensors combined with sport wearables
Oertel, S.; Jank, M.; Schmitz, B.; Lang, N.
Konferenzbeitrag
Conference Paper
2016 Wetting and infiltration of nitride bonded silicon nitride by liquid silicon
Schneider, V.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2016 Advanced detection method for polymer residues on semiconductor substrates
Richter, H.; Pfitzner, L.; Pfeffer, M.; Bauer, A.; Siegert, J.; Bodner, T.
Konferenzbeitrag
Conference Paper
2016 Imaging defect luminescence of 4H-SiC by ultraviolet-photoluminescence
Berwian, Patrick; Kaminzky, Daniel; Roßhirt, Katharina; Kallinger, Birgit; Friedrich, Jochen; Oppel, Steffen; Schneider, Adrian; Schütz, Michael
Konferenzbeitrag
Conference Paper
2016 Ion implanted 4H-SiC UV pin-diodes for solar radiation detection - simulation and characterization
Matthus, Christian D.; Erlbacher, Tobias; Burenkov, Alexander; Bauer, Anton J.; Frey, Lothar
Konferenzbeitrag
Conference Paper
2016 Semiconductor equipment assessment - An enabler for production ready equipment
Pfeffer, M.; Pfitzner, L.; Bauer, A.
Konferenzbeitrag
Conference Paper
2016 Quantification and reduction of deformations in multilayer soft-NIL stamps
Förthner, Michael; Papenheim, Marc; Rumler, Maximilian; Stumpf, Florian; Baier, Leander; Rommel, Mathias; Schlachter, Florian; Hornung, Michael; Scheer, Hella-Christin; Frey, Lothar
Poster
2016 Enhancing partial discharge inception voltage of DBCs by geometrical variations based on simulations of the electric field strength
Bayer, Christoph Friedrich; Waltrich, Uwe; Schneider, Richard; Soueidan, Amal; Bär, Eberhard; Schletz, Andreas
Konferenzbeitrag
Conference Paper
2016 Modelling of effective minority carrier lifetime in 4H-SiC n-type epilayers
Kaminzky, Daniel; Kallinger, Birgit; Berwian, Patrick; Rommel, Mathias; Friedrich, Jochen
Konferenzbeitrag
Conference Paper
2016 Drill-down analysis with equipment health monitoring
Krauel, Christopher; Weishäupl, Laura; Pfeffer, Markus
Vortrag
Presentation
2016 Analytical Model and Design of 4H-SiC Planar and Trenched JBS Diodes
Di Benedetto, L.; Licciardo, G.D.; Erlbacher, T.; Bauer, A.J.; Bellone, S.
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2015 Large area fabrication of hybrid polymer waveguides for planar Bragg grating sensors using UV-enhanced Substrate Conformal Imprint Lithography (UV-SCIL)
Förthner, Michael; Fader, Robert; Rumler, Maximilian; Rommel, Mathias; Frey, Lothar; Girschikofsky, M.; Belle, S.; Hellmann, R.; Klein, Jan Jasper
Poster
2015 Classification and key feature extraction for equipment health monitoring
Krauel, Christopher; Weishäupl, Laura; Petzold, Lisa; Pfeffer, Markus; Bauer, Anton
Vortrag
Presentation
2015 A DLTS study of hydrogen doped czochralski-grown silicon
Jelinek, Moriz; Laven, Johannes G.; Kirnstoetter, Stefan; Schustereder, Werner; Schulze, Hans-Joachim; Rommel, Mathias; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2015 Nanoelectronics research gaps and recommendations. Editorial
Galatsis, K.; Gargini, P.; Hiramoto, T.; Beernaert, D.; DeKeersmaecker, R.; Pelka, J.; Pfitzner, L.
Editorial
2015 Comparison of surface relief Bragg gratings fabricated by UV-SCIL and volume index Bragg gratings based on hybrid polymers
Förthner, Michael; Rumler, Maximilian; Michel, Felix; Rommel, Mathias; Frey, Lothar; Girschikofsky, Maiko; Belle, Stefan; Hellmann, Ralf; Klein, Jan Jasper
Poster
2015 Tailoring the electrical properties of HfO2 MOS-devices by aluminum doping
Paskaleva, Albena; Rommel, Mathias; Hutzler, Andreas; Spassov, Dencho; Bauer, Anton J.
Zeitschriftenaufsatz
Journal Article
2015 Defect Luminescence Scanner (DLS): Scientific and industrial-scale defect analysis
Oppel, Steffen; Schneider, Adrian; Schütz, Michael; Kaminzky, Daniel; Kallinger, Birgit; Weber, Jonas; Krieger, Michael
Vortrag
Presentation
2015 Junction formation and current transport mechanisms in hybrid n-Si/PEDOT:PSS solar cells
Jäckle, Sara; Mattiza, Matthias; Liebhaber, Martin; Brönstrup, Gerald; Rommel, Mathias; Lips, Klaus; Christiansen, Silke
Zeitschriftenaufsatz
Journal Article
2015 Modelling of effective minority carrier lifetimes in 4H-SiC n-type epilayers
Kaminzky, Daniel; Kallinger, Birgit; Berwian, Patrick; Rommel, Mathias; Friedrich, Jochen
Poster
2015 Imaging defect luminescence of 4H-SiC by UV-photoluminescence
Kaminzky, Daniel; Roßhirt, Katharina; Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Oppel, Steffen; Schneider, Adrian; Schütz, Michael
Vortrag
Presentation
2015 Nitridgebundenes Siliziumnitrid: Wiederverwendbare Tiegel für die gerichtete Erstarrung von Silizium
Schneider, Veronika
Dissertation
Doctoral Thesis
2015 Isotopic study of mid-infrared vibrational modes in GaAs related to carbon and nitrogen impurities
Alt, H.C.; Wagner, H.E.; Glacki, A.; Frank-Rotsch, C.; Häublein, V.
Zeitschriftenaufsatz
Journal Article
2015 Metastable defects in proton implanted and annealed silicon
Jelinek, Moriz; Laven, Johannes G.; Ganagona, N.; Job, R.; Schustereder, Werner; Schulze, Hans-Joachim; Rommel, Mathias; Frey, Lothar
Poster
2015 Vorrichtung und Verfahren zur Überbrückung eines elektrischen Energiespeichers
Erlbacher, Tobias; Lorentz, Vincent; Waller, Reinhold; Rattmann, Gudrun
Patent
2015 Advanced contamination control methods for yield enhancement. YE: Yield Enhancement/Learning
Richter, H.; Leibold, A.; Altmann, R.; Doffek, B.; Koebl, J.; Pfeffer, M.; Bauer, A.; Schneider, G.; Cheung, D.
Konferenzbeitrag
Conference Paper
2015 Current conduction mechanism of MIS devices using multidimensional minimization system program
Rouag, N.; Ouennoughi, Zahir; Rommel, Mathias; Murakami, Katsuhisa; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2015 Characterization and application of nano- and microstructured silicon-polymer-based surfaces for manipulation of cells
Scharin, Marina; Haering, Aaron; Gilbert, D.; Dirnecker, Tobias; Stumpf, Florian; Rommel, Mathias; Friedrich, Oliver; Frey, Lothar
Poster
2015 Robust double-ring junction termination extension design for high voltage power semiconductor devices based on 4H-SiC
Hürner, A.; Benedetto, L. di; Erlbacher, T.; Mitlehner, H.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2015 Impact of acceptor concentration on electrical properties and density of interface states of 4H-SiC n-metal-oxide-semiconductor field effect transistors studied by Hall effect
Ortiz, Guillermo; Strenger, Christian; Uhnevionak, Viktoryia; Burenkov, Alexander; Bauer, Anton J.; Pichler, Peter; Cristiano, Fuccio; Bedel-Pereira, Elena; Mortet, Vincent
Zeitschriftenaufsatz
Journal Article
2015 Optical bragg gratings in inorganic-organic hybrid polymers for highly sensitive temperature measurements
Girschikofsky, Maiko; Belle, Stefan; Hellmann, Ralf; Förthner, Michael; Frey, Lothar; Rommel, Mathias; Fader, Robert
Konferenzbeitrag
Conference Paper
2015 In situ formation of tantalum oxide - PMMA hybrid dielectric thin films for transparent electronic application
Valcu, E.E.; Musat, V.; Oertel, S.; Jank, M.P.M.; Leedham, T.
Zeitschriftenaufsatz
Journal Article
2015 Comparison of surface relief Bragg gratings fabricated by UV-SCIL and volume index Bragg gratings based on hybrid polymers
Förthner, Michael; Rumler, Maximilian; Michel, Felix; Rommel, Mathias; Frey, Lothar; Girschikofsky, Maiko; Belle, Stefan; Hellmann, Ralf; Klein, Jan Jasper
Poster
2015 Functionalization of PDMS surfaces through micro- or nano-patterning to control the cellular adhesion
Scharin, Marina; Häring, Aron; Dirnecker, Tobias; Rommel, Mathias; Marhenke, Julius; Friedrich, O.; Gilbert, D.F.; Herrmann, Martin; Frey, Lothar
Vortrag
Presentation
2015 Comparison of silicon and 4H silicon carbide patterning using focused ion beams
Veerapandian, Savita Kaliya Perumal; Beuer, Susanne; Rumler, Maximilian; Stumpf, Florian; Thomas, Keith; Pillatsch, Lex; Michler, Johannes; Frey, Lothar; Rommel, Mathias
Zeitschriftenaufsatz
Journal Article
2015 Temperature dependent characterization of bipolar injection field-effect-transistors (BiFET) for determining the short-circuit-capability
Hürner, A.; Erlbacher, T.; Mitlehner, H.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2015 Nano- and micrometer scale surface topography modification of Si (100) by Ga focused ion beam irradiation
Rai, Deepa; Stumpf, Florian; Frey, Lothar; Rommel, Mathias
Poster
2015 Modeling of ion drift in 4H-SiC-based chemical MOSFET sensors
Erlbacher, T.; Schwarzmann, H.; Bauer, A.J.; Döhler, G.H.; Schreivogel, M.; Lutz, T.; Guillén, F.H.; Graf, J.; Fix, R.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2015 Semiconductor equipment assessment - an enabler for production ready equipment
Pfeffer, M.; Pfitzner, L.; Bauer, A.
Konferenzbeitrag
Conference Paper
2015 Experimental characterisation of FIB induced lateral damage on silicon carbide samples
Stumpf, Florian; Rumler, Maximilian; Abu Quba, Abd Alaziz; Singer, Philipp; Rommel, Mathias
Poster
2015 Comprehensive study of the electron scattering mechanisms in 4H-SiC MOSFETs
Uhnevionak, Viktoryia; Burenkov, Alexander; Strenger, Christian; Ortiz, Guillermo; Bedel-Pereira, Elena; Mortet, Vincent; Cristiano, Fuccio; Bauer, Anton J.; Pichler, Peter
Zeitschriftenaufsatz
Journal Article
2015 Bioactivation and functionalization of PDMS surfaces to control cellular adhesion behaviour by micro- or nanopatterning and plasma treatment
Scharin, Marina; Rommel, Mathias; Dirnecker, Tobias; Stumpf, Florian; Zipfel, J.; Marhenke, J.; Friedrich, O.; Gilbert, D.F.; Herrmann, M.; Frey, Lothar
Poster
2015 Imaging defect luminescence measurements of 4H-SiC by UV-PL
Kaminzky, Daniel; Roßhirt, Katharina; Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Oppel, Steffen; Schneider, Adrian; Schütz, Michael
Vortrag
Presentation
2015 Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
Meric, Z.; Mehringer, C.; Karpstein, N.; Jank, M.P.M.; Peukert, W.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2015 Impact of post-trench processing on the electrical characteristics of 4H-SiC trench-MOS structures with thick top and bottom oxides
Banzhaf, C.T.; Grieb, M.; Rambach, M.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2015 Modelling of the electrochemical etch stop with high reverse bias across pn-junctions
Szwarc, R.; Frey, L.; Weber, H.; Moder, I.; Erlbacher, T.; Rommel, M.; Bauer, A.J.
Konferenzbeitrag
Conference Paper
2015 UV-NIL R&D at Fraunhofer IISB. Past examples and future challenges
Rommel, Mathias; Rumler, Maximilian; Förthner, Michael; Scharin, Marina; Fader, Robert; Schmitt, Holger
Vortrag
Presentation
2015 Influence of annealing, oxidation and doping on conduction-band near interface traps in 4H-SiC characterized by low temperature conductance measurements
Noll, S.; Rambach, M.; Grieb, M.; Scholten, D.; Bauer, A.; Frey, L.
Konferenzbeitrag
Conference Paper
2015 Particle free handling of substrates
Samadi, H.; Pfeffer, M.; Altmann, R.; Leibold, A.; Gumprecht, T.; Bauer, A.
Konferenzbeitrag
Conference Paper
2015 Improvement of 4H-SiC material quality
Kallinger, Birgit; Kaminzky, Daniel; Rommel, Mathias; Berwian, Patrick; Friedrich, Jochen
Vortrag
Presentation
2015 Silicon nitride, a high potential dielectric for 600 V integrated RC-snubber applications
Krach, F.; Schwarzmann, H.; Bauer, A.J.; Erlbacher, T.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2015 Thin film metallization of PDMS with improved adhesion properties for micro electrode arrays
Scharin, Marina; Newcomer, Kate; Al-Naimi, Abd; Dirnecker, Tobias; Rumler, Maximilian; Rommel, Mathias; Frey, Lothar
Poster
2015 Improved electrical behavior of ZrO2-based MIM structures by optimizing the O3 oxidation pulse time
Paskaleva, A.; Weinreich, W.; Bauer, A.J.; Lemberger, M.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2015 Bragg gratings in imprinted Ormocer waveguides
Girschikofsky, M.; Förthner, M.; Rommel, M.; Frey, L.; Hellmann, R.
Konferenzbeitrag
Conference Paper
2015 Thin film metallization of PDMS with improved adhesion properties for micro electrode arrays
Scharin, Marina; Newcomer, Kate; Dirnecker, Tobias; Al-Naimi, A.; Rumler, Maximilian; Rommel, Mathias; Frey, Lothar
Poster
2015 Controlling cellular adhesion through micro- or nanopatterning of silicone-based surfaces to improve biomedical devices for in vitro based applications
Scharin, Marina; Rommel, Mathias; Dirnecker, Tobias; Stumpf, Florian; Zipfel, J.; Marhenke, J.; Friedrich, O.; Glibert, D.F.; Herrmann, M.; Frey, Lothar
Poster
2015 Defined area polymer working stamp manufacture for S&R UV-NIL by direct laser writing
Rumler, Maximilian; Michel, Felix; Becker, Marco; Baier, Leander; Förthner, Michael; Rommel, Matthias; Schleunitz, Arne; Klein, Jan Jasper
Poster
2015 Thermal laser separation - a novel dicing technology fulfilling the demands of volume manufacturing of 4H-SiC devices
Lewke, D.; Dohnke, K.O.; Zühlke, H.U.; Cerezuela Barret, M.; Schellenberger, M.; Bauer, A.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2015 Atomic scale characterization of SiO2/4H-SiC interfaces in MOSFETs devices
Beltran, A.M.; Duguay, S.; Strenger, C.; Bauer, A.J.; Cristiano, F.; Schamm-Chardon, S.
Zeitschriftenaufsatz
Journal Article
2015 Characterization and application of nano- and microstructured PDMS surfaces for manipulation of cells
Scharin, Marina; Gilbert, D.; Rommel, Mathias; Dirnecker, Tobias; Stumpf, Florian; Haering, A.; Friedrich, O.; Frey, Lothar
Vortrag
Presentation
2015 Nachbearbeitung von teilgetrennten Bauelementen in der Halbleitertechnik
Lewke, Dirk; Schellenberger, Martin
Studie
Study
2015 Modeling of the electrochemical etch stop with high reverse bias across pn-junctions
Szwarc, Robert; Frey, Lothar; Weber, Hans; Moder, Iris; Erlbacher, Tobias; Rommel, Mathias; Bauer, Anton J.
Vortrag
Presentation
2015 Device optimization and application study of low cost printed temperature sensor for mobile and stationary battery based Energy Storage Systems
Grosch, J.; Teuber, E.; Jank, M.; Lorentz, V.; März, M.; Frey, L.
Konferenzbeitrag
Conference Paper
2015 SuperLIB: Smart Battery Management of a Dual Cell Architecture for Electric Vehicles
Kurtulus, C.; Krabb, P.; Hennige, V.; Räsänen, M.; Salminen, J.; Nuutinen, M.; Grosch, J.; Jank, M.; Teuber, E.; Lorentz, V.; Petit, M.; Martin, J.; Silvi, J.-L.; Omar, N.; Widanage, D.
Konferenzbeitrag
Conference Paper
2015 High-voltage monolithic 3D capacitors based on through-silicon-via technology
Grünler, Saeideh; Rattmann, Gudrun; Erlbacher, Tobias; Bauer, Anton; Frey, Lothar
Poster
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2014 Large area fabrication of plasmonic color filters using UV-SCIL
Rumler, Maximilian; Fader, R.; Förthner, M.; Haas, A.; Rommel, M.; Bauer, A.J.; Frey, L.
Vortrag
Presentation
2014 Sol-gel preparation of ZrO2-PMMA for thin films transistors
Valcu, E.E.; Musat, V.; Jank, M.; Oertel, S.
Zeitschriftenaufsatz
Journal Article
2014 Large area fabrication of plasmonic color filters using UV-SCIL
Rumler, Maximilian; Fader, Robert; Förthner, Michael; Haas, Anke; Rommel, Mathias; Bauer, Anton; Frey, Lothar
Vortrag
Presentation
2014 Investigation of trenched and high temperature annealed 4H-SiC
Banzhaf, C.T.; Grieb, M.; Trautmann, A.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2014 Enabling large area and high throughput roll-to-roll NIL by novel inkjetable and photo-curable NIL-resists
Thesen, Manuel; Rumler, Maximilian; Schlachter, Florian; Grützner, Susanne; Moormann, Christian; Rommel, Mathias; Nees, Dieter; Ruttloff, Stephan; Pfirrmann, Stefan; Vogler, Marko; Schleunitz, Arne; Grützner, Gabi
Konferenzbeitrag
Conference Paper
2014 High-mobility metal-oxide thin-film transistors by spray deposition of environmentally friendly precursors
Oertel, S.; Jank, M.P.M.; Teuber, E.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2014 Lateral Power Transistors in Integrated Circuits
Erlbacher, T.
Buch
Book
2014 Comparison of carrier lifetime measurements and mapping in 4H SiC using time resolved photoluminescence and m-PCD
Kallinger, Birgit; Rommel, Mathias; Lilja, Louise; Hassan, Jawad ul; Booker, Ian; Janzen, Erik; Bergman, Peder
Konferenzbeitrag
Conference Paper
2014 MeV-proton channeling in crystalline silicon
Jelinek, Moriz; Schustereder, Werner; Kirnstoetter, S.; Laven, Johannes G.; Schulze, Hans-Joachim; Rommel, Mathias; Frey, Lothar
Konferenzbeitrag
Conference Paper
2014 Nanoscale characterization of high-k dielectrics by electrical SPM methods
Rommel, Mathias
Vortrag
Presentation
2014 Thickness mapping of high-k dielectrics at the nanoscale
Trapnauskas, Justinas; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2014 HCl assisted growth of thick 4H-SiC epilayers for bipolar devices
Kallinger, Birgit; Ehlers, Christian; Berwian, Patrick; Rommel, Mathias; Friedrich, Jochen
Konferenzbeitrag
Conference Paper
2014 Temperature and electrical field dependence of the ambipolar mobility in n-doped 4H-SiC
Hürner, A.; Bonse, C.; Clemmer, G.; Kallinger, B.; Heckel, T.; Erlbacher, T.; Mitlehner, H.; Häublein, V.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2014 Automated tool for measuring nanotopography of 300 mm wafers at early stages of wafer production
Tröger, B.; Mühlig, A.; Fries, T.; Bauer, S.; Wihr, H.; Riedel, F.; Lewke, D.; Schellenberger, M.
Konferenzbeitrag
Conference Paper
2014 Optical characterization of patterned thin films
Rosu, D.; Petrik, P.; Rattmann, G.; Schellenberger, M.; Beck, U.; Hertwig, A.
Zeitschriftenaufsatz
Journal Article
2014 Structure placement accuracy of wafer level stamps for substrate conformal imprint lithography
Fader, Robert; Förthner, Michael; Rumler, Maximilian; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Verschuuren, Marc; Butschke, Jörg; Irmscher, Mathias; Storace, Eleonora; Ji, Ran; Schömbs, Ulrike
Poster
2014 Modification of polypropylene films for thin film capacitors by ion implantation
Häublein, V.; Birnbaum, E.; Ryssel, H.; Frey, L.; Grimm, W.
Konferenzbeitrag
Conference Paper
2014 Deep-level defects in high-dose proton implanted and high-temperature annealed silicon
Jelinek, Moriz; Laven, Johannes G.; Rommel, Mathias; Schustereder, Werner; Schulze, Hans-Joachim; Frey, Lothar; Job, R.
Konferenzbeitrag
Conference Paper
2014 Feasibility evaluation of virtual metrology for the example of a trench etch process
Roeder, G.; Winzer, S.; Schellenberger, M.; Jank, S.; Pfitzner, L.
Zeitschriftenaufsatz
Journal Article
2014 NanoSPV - SPM Technique for Measuring Minority Charge Carrier Diffusion Lengths with High Spatial Resolution
Stumpf, Florian; Rommel, M.; Bauer, A.J.; Frey, L.; Hitzel, F.; Stadelmann, A.
Vortrag
Presentation
2014 High quality and high speed cutting of 4H-SiC JFET wafers including PCM structures by using thermal laser separation
Lewke, D.; Koitzsch, M.; Dohnke, K.O.; Schellenberger, M.; Zuehlke, H.-U.; Rupp, R.; Pfitzner, L.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2014 A DLTS study of hydrogen doped Czochralski-grown silicon
Jelinek, Moriz; Laven, Johannes G.; Kirnstoetter, S.; Schustereder, Werner; Schulze, Hans-Joachim; Rommel, Mathias; Frey, Lothar
Poster
2014 NanoSPV - SPM Technique for the Quantitative Measurement of Minority Charge Carrier Diffusion Lengths with High Spatial Resolution
Stumpf, Florian; Rommel, Mathias; Bauer, Anton; Frey, Lothar; Hitzel, Frank; Stadelmann, Anja; Bartel, Til
Vortrag
Presentation
2014 Out-diffusion of cesium and rubidium from amorphized silicon during solid-phase epitaxial regrowth
Maier, R.; Häublein, V.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2014 The Factory Integration Roadmap in Semiconductor manufacturing
Moyne, J.; Schellenberger, M.; Pfitzner, L.
Konferenzbeitrag
Conference Paper
2014 Verfahren zur Herstellung einer diffusionshemmenden Beschichtung, Tiegel zum Schmelzen und/oder Kristallisieren von Nicht-Eisen Metallen sowie Verwendungszwecke
Reimann, Christian; Obermeier, Sebastian; Trempa, Matthias; Schneider, Veronika; Friedrich, Jochen
Patent
2014 Optical polymers with tunable refractive index for nanoimprint technologies
Landwehr, Johannes; Fader, Robert; Rumler, Maximilian; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Simon, B.; Fodor, B.; Petrik, Peter; Schiener, A.; Winter, Benjamin; Spiecker, Erdmann
Zeitschriftenaufsatz
Journal Article
2014 Large area fabrication of hybrid polymer waveguides for planar Bragg grating sensors using UV-enhanced Substrate Conformal Imprint Lithography (UV-SCIL)
Förthner, Michael; Fader, Robert; Rumler, Maximilian; Rommel, Mathias; Frey, Lothar; Girschikofsky, Maiko; Belle, Stefan; Hellmann, Ralf; Klein, Jan Jasper
Poster
2014 A new method to increase the doping efficiency of proton implantation in a high-dose regime
Jelinek, Moriz; Laven, Johannes G.; Job, R.; Schustereder, Werner; Schulze, Hans-Joachim; Rommel, Mathias; Frey, Lothar
Konferenzbeitrag
Conference Paper
2014 Silicon carbide in power electronics: Overcoming the obstacle of bipolar degradation
Kallinger, Birgit; Ehlers, Christian; Berwian, Patrick; Friedrich, Jochen; Rommel, Mathias
Vortrag
Presentation
2014 Inkjetable and photo-curable resists for large-area and high-throughput roll-to-roll nanoimprint lithography
Thesen, M.W.; Nees, D.; Ruttloff, S.; Rumler, Maximilian; Rommel, Mathias; Schlachter, F.; Grützner, S.; Vogler, M.; Schleunitz, A.; Grützner, G.
Zeitschriftenaufsatz
Journal Article
2014 Pulsed direct flame deposition and thermal annealing of transparent amorphous indium zinc oxide films as active layers in field effect transistors
Kilian, D.; Polster, S.; Vogeler, I.; Jank, M.P.M.; Frey, L.; Peukert, W.
Zeitschriftenaufsatz
Journal Article
2014 DLTS characterization of proton-implanted silicon under varying annealing conditions
Laven, J.G.; Jelinek, M.; Job, R.; Schustereder, W.; Schulze, H.-J.; Rommel, M.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2014 Bioactivation of plane and patterned PDMS thin films by wettability engineering
Scharin, Marina; Rommel, Mathias; Dirnecker, Tobias; Marhenke, Julius; Herrmann, Benjamin; Rumler, Maximilian; Fader, Robert; Frey, Lothar; Herrmann, Martin
Zeitschriftenaufsatz
Journal Article
2014 Effect of shallow n-doping on field effect mobility in p-doped channels of 4H-SiC MOS field effect transistors
Noll, S.; Rambach, M.; Grieb, M.; Scholten, D.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2014 Approaches to calculate the dielectric function of ZnO around the band gap
Agocs, E.; Fodor, B.; Pollakowski, B.; Beckhoff, B.; Nutsch, A.; Jank, M.; Petrik, P.
Zeitschriftenaufsatz
Journal Article
2014 Reliability of monolithic RC-snubbers in MOS-based power modules
Erlbacher, T.; Schwarzmann, H.; Krach, F.; Bauer, A.J.; Berberich, S.E.; Kasko, I.; Frey, L.
Konferenzbeitrag
Conference Paper
2014 Optical polymers with tunable refractive index for nanoimprint technologies
Fader, Robert; Landwehr, Johannes; Rumler, Maximilian; Förthner, Michael; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Simon, B.; Fodor, B.; Petrik, Peter; Winter, Benjamin; Spiecker, Erdmann
Poster
2014 Systematic analysis of the high- and low-field channel mobility in lateral 4H-SiC MOSFETs
Strenger, C.; Uhnevionak, V.; Mortet, V.; Ortiz, G.; Erlbacher, T.; Burenkov, A.; Bauer, A.J.; Cristiano, F.; Bedel-Pereira, E.; Pichler, P.; Ryssel, H.; Frey, L.
Konferenzbeitrag
Conference Paper
2014 Comparison of patterning silicon and silicon carbide using focused ion beam
Veerapandian, S.K.P.; Beuer, Susanne; Rumler, Maximilian; Stumpf, Florian; Thomas, Keith; Pillatsch, L.; Michler, Johannes; Frey, Lothar; Rommel, Mathias
Poster
2014 Experimental analysis of bipolar SiC-devices for future energy distribution systems
Huerner, A.; Mitlehner, H.; Erlbacher, T.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2014 Hall factor calculation for the characterization of transport properties in n-channel 4H-SiC MOSFETs
Uhnevionak, U.; Burenkov, A.; Strenger, C.; Mortet, V.; Bedel-Peireira, E.; Cristiano, F.; Bauer, A.J.; Pichler, Peter
Konferenzbeitrag
Conference Paper
2014 Process development for nanoimprint at Fraunhofer IISB
Fader, Robert; Rumler, Maximilian; Scharin, Marina; Förthner, Michael; Rommel, Mathias
Vortrag
Presentation
2014 Nanoscale characterization of TiO2 films grown by atomic layer deposition on RuO2 electrodes
Murakami, Katsuhisa; Rommel, Mathias; Hudec, Boris; Rosová, Alica; Hus&ekova&, Krístina; Dobroc&ka, Edmund; Rammula, Raul; Kasikov, Arne; Han, Jeong Hwan; Lee, Woongkyu; Song, Seul Ji; Paskaleva, Albena; Bauer, Anton J.; Frey, Lothar; Fröhlich, Karol; Aarik, Jaan; Hwang, Cheol Seong
Zeitschriftenaufsatz
Journal Article
2014 Influence of diverse post-trench processes on the electrical performance of 4H-SiC MOS structures
Banzhaf, C.T.; Grieb, M.; Trautmann, A.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2014 New Defect Luminescence Scanner for Inline Control of Material Quality
Kallinger, Birgit; Kaminzky, Daniel; Berwian, Patrick; Oppel, Steffen; Schütz, Michael; Schneider, Adrian; Krieger, Michael; Weber, Jonas; Friedrich, Jochen
Poster
2014 Impact of fabrication process on electrical properties and on interfacial density of states in 4H-SiC n-MOSFETs studied by hall effect
Ortiz, Guillermo; Mortet, Vincent; Strenger, Christian; Uhnevionak, Viktoryia; Burenkov, Alexander; Bauer, Anton J.; Pichler, Peter; Cristiano, Fuccio; Bedel-Pereira, Elena
Konferenzbeitrag
Conference Paper
2014 Optical polymers with tunable refractive index for nanoimprint technologies
Fader, Robert; Landwehr, Johannes; Rumler, Maximilian; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Simon, B.; Fodor, B.; Petrik, Peter; Schiener, A.; Winter, Benjamin; Spiecker, Erdmann
Poster
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2013 Bimodal CAFM TDDB distributions in polycrystalline HfO2 gate stacks: The role of the interfacial layer and grain boundaries
Iglesias, V.; Martin-Martinez, J.; Porti, M.; Rodriguez, R.; Nafria, M.; Aymerich, X.; Erlbacher, T.; Rommel, M.; Murakami, K.; Bauer, A.J.; Frey, L.; Bersuker, G.
Zeitschriftenaufsatz
Journal Article
2013 Leistungselektronik und elektrische Antriebstechnik
März, Martin; Eilers, Dirk; Gillner, Arnold; Schliwinski, Hans-Jürgen; Schneider-Ramelow, Martin; Schubert, Thomas; Partsch, Uwe; Paschen, Uwe; Wilken, Ralph
Aufsatz in Buch
Book Article
2013 Comparison of carrier lifetime measurements and mapping using time resolved photoluminescence and µ-PCD
Kallinger, Birgit; Rommel, Mathias; Lilja, L.; Hassan, J.; Booker, Ian; Janzen, Erik; Bergman, J.P.
Poster
2013 Improving electric behavior and simplifying production of Si-based diodes by using thermal laser separation
Koitzsch, M.; Lewke, D.; Schellenberger, M.; Pfitzner, L.; Ryssel, H.; Kolb, R.; Zühlke, H.-U.
Konferenzbeitrag
Conference Paper
2013 Influence of epilayer thickness and structural defects on the minority carrier lifetime in 4H-SiC
Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Azizi, Maral; Rommel, Mathias; Hecht, Christian; Friedrichs, Peter
Konferenzbeitrag
Conference Paper
2013 Verfahren und Vorrichtung zum parallelen Trennen eines Werkstücks in mehrere Teilstücke
Lorenz, Jürgen; Koitzsch, Matthias; Schellenberger, Martin; Lewke, Dirk; Gumprecht, Thomas
Patent
2013 Characterization of diverse gate oxides on 4H-SiC 3D trench-MOS structures
Banzhaf, C.T.; Grieb, M.; Trautmann, A.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2013 Accuracy of wafer level alignment with substrate conformal imprint lithography
Fader, Robert; Rommel, Mathias; Bauer, Anton J.; Rumler, Maximilian; Frey, Lothar; Verschuuren, Marcus Antonius; Laar, Robert van de; Ji, Ran; Schömbs, Ulrike
Zeitschriftenaufsatz
Journal Article
2013 Correlation of interface characteristics to electron mobility in channel-implanted 4H-SiC MOSFETs
Strenger, C.; Uhnevionak, V.; Burenkov, A.; Bauer, A.J.; Mortet, V.; Bedel-Pereira, E.; Cristiano, F.; Krieger, M.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2013 Melt depth and time variations during pulsed laser thermal annealing with one and more pulses
Hackenberg, Moritz; Rommel, Mathias; Rumler, M; Lorenz, Jürgen; Pichler, Peter; Huet, Karim; Negru, Razvan; Fisicaro, Giuseppe; Magna, Antonino la; Taleb, Nadjib; Quillec, M.
Konferenzbeitrag
Conference Paper
2013 Practical aspects of virtual metrology and predictive maintenance model development and optimization
Schöpka, U.; Roeder, G.; Mattes, A.; Schellenberger, M.; Pfeffer, M.; Pfitzner, L.; Scheibelhofer, P.
Konferenzbeitrag
Conference Paper
2013 Detailed leakage current analysis of metal-insulator-metal capacitors with ZrO2, ZrO2/SiO2/ZrO2, and ZrO2/Al2O3/ZrO2 as dielectric and TiN electrodes
Weinreich, W.; Shariq, A.; Seidel, K.; Sundqvist, J.; Paskaleva, A.; Lemberger, M.; Bauer, A.J.
Zeitschriftenaufsatz
Journal Article
2013 Electrical impact of the aluminum p-implant annealing on lateral MOSFET transistors on 4H-SiC n-epi
Noll, S.; Scholten, D.; Grieb, M.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2013 On the temperature dependence of the hall factor in n-channel 4H-SiC MOSFETs
Uhnevionak, V.; Burenkov, A.; Strenger, C.; Bauer, A.J.; Pichler, P.
Konferenzbeitrag
Conference Paper
2013 Influence of ion implantation in SiC on the channel mobility in lateral n-channel MOSFETs
Strenger, C.; Uhnevionak, V.; Burenkov, A.; Bauer, A.J.; Pichler, P.; Erlbacher, T.; Ryssel, H.; Frey, L.
Konferenzbeitrag
Conference Paper
2013 Functional epoxy polymer for direct nano-imprinting of micro-optical elements
Fader, R.; Landwehr, J.; Rumler, M.; Rommel, M.; Bauer, A.J.; Frey, L.; Völkel, R.; Brehm, M.; Kraft, A.
Zeitschriftenaufsatz
Journal Article
2013 Influence of parasitic capacitances on conductive AFM I-V measurements and approaches for its reduction
Rommel, Mathias; Jambreck, Joachim D.; Lemberger, Martin; Bauer, Anton J.; Frey, Lothar; Murakami, Katsuhisa; Richter, Christoph; Weinzierl, Philipp
Zeitschriftenaufsatz
Journal Article
2013 Micromolding of micropatterned PDMS surfaces to define the adhesive behavior of human cells in vitro
Scharin, Marina; Rommel, Mathias; Dirnecker, Tobias; Marhenke, J.; Rumler, Maximilian; Herrmann, Benjamin; Fader, Robert; Frey, Lothar; Herrmann, Martin
Poster
2013 Simulation and design optimization of transparent heaters for spectroscopic micro cells
Völlm, H.; Herrmann, J.; Maier, R.; Feili, D.; Häublein, V.; Ryssel, H.; Seidel, H.
Konferenzbeitrag
Conference Paper
2013 Advanced Vacuum Wafer Drying for Thermal Laser Separation Dicing Assessment. Results from European collaborative SEAL project
Le Barillec, Olivier; Davenet, Magali; Bellet, B.; Koitzsch, Matthias; Lewke, Dirk; Schellenberger, Martin; Zühlke, Hans-Ulrich
Konferenzbeitrag
Conference Paper
2013 Surface modification of flexible plain and microstructured hard and soft PDMS-thin films by plasma treatment and layer deposition for improved usability for biomedical applications
Scharin, Marina; Rommel, Mathias; Dirnecker, Tobias; Rumler, Maximilian; Fader, Robert; Bauer, Anton J.; Frey, Lothar; Hermman, Martin
Poster
2013 Alloying of ohmic contacts to n-type 4H-SiC via laser irradiation
Hürner, A.; Schlegl, T.; Adelmann, B.; Mitlehner, H.; Hellmann, R.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2013 Evaluation of UV-SCIL resists for structure transfer using plasma etching
Rumler, Maximilian; Rusch, O.; Fader, Robert; Haas, Anke; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Brehm, Markus; Kraft, Andreas
Poster
2013 Evaluation of resistless Ga+ beam lithography for UV NIL stamp fabrication
Rumler, Maximilian; Fader, Robert; Haas, Anke; Rommel, Mathias; Bauer, Anton; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2013 Characterization of thin ZnO films by vacuum ultra-violet reflectometry
Gumprecht, T.; Petrik, P.; Roeder, G.; Schellenberger, M.; Pfitzner, L.; Pollakowski, B.; Beckhoff, B.
Konferenzbeitrag
Conference Paper
2013 Life time of flexible PDMS stamps for UV-enhanced substrate conformal imprint lithography (SCIL)
Fader, Robert; Rumler, M.; Rommel, M.; Bauer, A.J.; Frey, L.; Brehm, M.; Kraft, A.; Reboud, V.; Landis, S.
Vortrag
Presentation
2013 Structural properties of as deposited and annealed ZrO2 influenced by atomic layer deposition, substrate, and doping
Weinreich, W.; Wilde, L.; Müller, J.; Sundqvist, J.; Erben, E.; Heitmann, J.; Lemberger, M.; Bauer, A.J.
Zeitschriftenaufsatz
Journal Article
2013 Laser melting of nanoparticulate transparent conductive oxide thin films
Baum, M.; Polster, S.; Jank, M.P.M.; Alexeev, I.; Frey, L.; Schmidt, M.
Zeitschriftenaufsatz
Journal Article
2013 Characterization of ZnO structures by optical and X-ray methods
Petrik, P.; Pollakowski, B.; Zakel, S.; Gumprecht, T.; Beckhoff, B.; Lemberger, M.; Labadi, Z.; Baji, Z.; Jank, M.; Nutsch, A.
Zeitschriftenaufsatz
Journal Article
2013 HCl assisted growth of thick 4H-SiC epilayers for bipolar devices
Kallinger, Birgit; Ehlers, Christian; Berwian, Patrick; Rommel, Mathias; Friedrich, Jochen
Poster
2013 Patterning flat and tilted 4H-SiC by Ga+ resistless lithography and subsequent reactive ion etching
Beuer, Susanne; Rommel, Mathias; Rumler, Maximilian; Haas, Anke; Bauer, Anton J.; Frey, Lothar
Poster
2013 Comparative study of n-LIGBT and n-LDMOS structures on 4H-SiC
Häublein, V.; Temmel, G.; Mitlehner, H.; Rattmann, G.; Strenger, C.; Hürner, A.; Bauer, A.J.; Ryssel, H.; Frey, L.
Konferenzbeitrag
Conference Paper
2013 Accuracy of wafer level alignment with substrate conformal imprint lithography
Fader, Robert; Rumler, M.; Rommel, M.; Bauer, A.J.; Frey, L.; Verschuuren, M.A.; Laar, R. van de; Ji, R.; Schömbs, U.
Vortrag
Presentation
2013 Hall effect characterization of 4H-SiC MOSFETs: Influence of nitrogen channel implantation
Mortet, V.; Bedel-Pereira, E.; Bobo, J.F.; Cristiano, F.; Strenger, C.; Uhnevionak, V.; Burenkov, A.; Bauer, A.J.
Konferenzbeitrag
Conference Paper
2013 Verification of near-interface traps models by electrical measurements on 4H-SiC n-channel MOSFETs
Uhnevionak, V.; Strenger, C.; Burenkov, A.; Mortet, V.; Bedel-Pereira, E.; Cristiano, F.; Bauer, A.; Pichler, P.
Konferenzbeitrag
Conference Paper
2013 Virtual metrology for prediction of etch depth in a trench etch process
Roeder, G.; Schellenberger, M.; Pfitzner, L.; Winzer, S.; Jank, S.
Konferenzbeitrag
Conference Paper
2013 Laser alloying nickel on 4H-silicon carbide substrate to generate ohmic contacts
Adelmann, B.; Hürner, A.; Schlegel, T.; Bauer, A.J.; Frey, L.; Hellmann, R.
Zeitschriftenaufsatz
Journal Article
2013 Processing of silicon nanostructures by Ga+ resistless lithography and reactive ion etching
Rommel, M.; Rumler, M.; Haas, A.; Bauer, A.J.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2013 Full wafer nanotopography analysis on rough surfaces using stitched white light interferometry images
Lewke, D.; Schellenberger, M.; Pfitzner, L.; Fries, T.; Tröger, B.; Muehlig, A.; Riedel, F.; Bauer, S.; Wihr, H.
Konferenzbeitrag
Conference Paper
2013 Innovative balancing, heating and temperature sensing element for automotive batteries
Filimon, R.; Grosch, J.; Teuber, E.; Jank, M.; Lorentz, V.; Wenger, M.; Giegerich, M.; März, M.; Frey, L.
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2012 Assessment of a FOUP conditioning equipment for advanced semiconductor application
Otto, M.; Rioufrays, S.; Favre, A.; Leibold, A.; Altmann, R.; Gennaro, S.; Dell'Anna, R.; Canteri, R.; Pfitzner, L.
Konferenzbeitrag
Conference Paper
2012 Comparative investigation on installation space requirements for input filters of DC-link- and matrix converters based on amplitude pseudo-spectra
Schramm, A.; Lanfer, H.; Petzoldt, J.; Rädel, U.; Schwarzmann, H.; Erlbacher, T.
Konferenzbeitrag
Conference Paper
2012 Eletrisches Überbrückungselement, insbesondere für Speicherzellen eines Energiespeichers
Dorp, Joachim vom; Erlbacher, Tobias; Frey, L.
Patent
2012 Evaluation of resistless Ga+ beam lithography for UV-NIL stamp fabrication
Rumler, Maximilian; Fader, Robert; Haas, Anke; Rommel, Matthias; Bauer, Anton J.; Frey, Lothar
Poster
2012 Life time evaluation of PDMS stamps for UV-enhanced substrate conformal imprint lithography
Schmitt, H.; Duempelmann, P.; Fader, R.; Rommel, M.; Bauer, A.J.; Frey, L.; Brehm, M.; Kraft, A.
Zeitschriftenaufsatz
Journal Article
2012 Virtual Equipment for benchmarking Predictive Maintenance algorithms
Mattes, A.; Schöpka, U.; Schellenberger, M.; Scheibelhofer, P.; Leditzky, G.
Konferenzbeitrag
Conference Paper
2012 4H-SiC MOSFETs with a stable protective coating for harsh environment applications
Daves, W.; Krauss, A.; Häublein, V.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2012 Framework for integration of virtual metrology and predictive maintenance
Roeder, G.; Mattes, A.; Pfeffer, M.; Schellenberger, M.; Pfitzner, L.; Knapp, A.; Mühlberger, H.; Kyek, A.; Lenz, B.; Frisch, M.; Bichlmeier, J.; Leditzky, G.; Lind, E.; Zoia, S.; Fazio, G.
Konferenzbeitrag
Conference Paper
2012 Plasma-assisted atomic layer deposition of alumina at room temperature
Lemberger, Martin; Fromm, Timo; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar
Poster
2012 Predictive sampling approach to dynamically optimize defect density control operations
Pfeffer, M.; Oechsner, R.; Pfitzner, L.; Eckert, S.; Hartmann, A.; Gold, H.; Biebl, G.; Kaspar, J.
Konferenzbeitrag
Conference Paper
2012 Efficient laser induced consolidation of nanoparticulate ZnO thin films with reduced thermal budget
Baum, M.; Polster, S.; Jank, M.P.M.; Alexeev, I.; Frey, L.; Schmidt, M.
Zeitschriftenaufsatz
Journal Article
2012 Comparative study of electrical and microstructural properties of 4H-SiC MOSFETs
Strenger, C.; Häublein, V.; Erlbacher, T.; Bauer, A.J.; Ryssel, H.; Beltran, A.M.; Schamm-Chardon, S.; Mortet, V.; Bedel-Pereira, E.; Lefebvre, M.; Cristiano, F.
Konferenzbeitrag
Conference Paper
2012 Reference samples for ultra trace analysis of organic compounds on substrate surfaces
Nutsch, A.; Beckhoff, B.; Borionetti, G.; Codegoni, D.; Grasso, S.; Hoenicke, P.; Leibold, A.; Müller, M.; Otto, M.; Pfitzner, L.; Polignano, M.-L.
Konferenzbeitrag
Conference Paper
2012 Approaches for the reduction of the influence of parasitic capacitances on local IV characteristics for conductive AFM
Rommel, Mathias; Jambreck, Joachim D.; Murakami, Katsuhisa; Lemberger, Martin; Richter, Christoph; Weinzierl, Philip; Bauer, Anton J.; Frey, Lothar
Vortrag
Presentation
2012 Resistive circuit, circuit layout and driver
Berberich, Sven E.; Wintrich, Arendt; Erlbacher, Tobias
Patent
2012 Significant on-resistance reduction of LDMOS devices by intermitted trench gates integration
Erlbacher, Tobias; Bauer, Anton J.; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2012 Feasiblity and limitations of anti-fuses based on bistable non-volatile switches for power electronic applications
Erlbacher, Tobias; Hürner A.; Bauer, Anton J.; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2012 Improving module performance and reliability in power electronic applications by monolithic integration of RC-snubbers
Erlbacher, Tobias; Schwarzmann, Holger; Bauer, Anton J.; Berberich, Sven E.; Dorp, Joachim vom; Frey, Lothar
Konferenzbeitrag
Conference Paper
2012 Simulationsgestützte Untersuchung von logistischen Optimierungsstrategien bei Halbleiterfertigungsprozessen
Pfeffer, M.
Dissertation
Doctoral Thesis
2012 Structural and reliability analysis of ohmic contacts to SiC with a stable protective coating for harsh environment applications
Daves, W.; Kraus, A.; Häublein, V.; Bauer, A.J.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2012 Ablation Free Dicing of 4H-SiC Wafers with Feed Rates up to 200 mm/s by Using Thermal Laser Separation
Lewke, Dirk; Koitzsch, Matthias; Schellenberger, Martin; Pfitzner, Lothar; Ryssel, Heiner; Zühlke, Hans-Ulrich
Konferenzbeitrag
Conference Paper
2012 Nano-analytical and electrical characterization of 4H-SiC MOSFETs
Beltran, A.M.; Schamm-Chardon, S.; Mortet, V.; Lefebvre, M.; Bedel-Pereira, E.; Cristiano, F.; Strenger, C.; Häublein, V.; Bauer, A.J.
Konferenzbeitrag
Conference Paper
2012 All electrochemical layer deposition for crystalline silicon solar cell manufacturing: Experiments and interpretation
Kröner, Friedrich; Kröner, Z.; Reichmann, Klaus; Rommel, Mathias
Zeitschriftenaufsatz
Journal Article
2012 Amplitude modulated resonant push-pull driver for piezoelectric transformers in switching power applications
Schwarzmann, Holger; Erlbacher, Tobias; Bauer, Anton J.; Ryssel, Heiner; Frey, Lothar
Konferenzbeitrag
Conference Paper
2012 Enhancements in resizing single crystalline silicon wafers up to 450 mm by using thermal laser separation
Koitzsch, M.; Lewke, D.; Schellenberger, M.; Pfitzner, L.; Ryssel, H.; Zühlke, H.U.
Konferenzbeitrag
Conference Paper
2012 Thermal laser separation and its applications
Lewke, Dirk; Koitzsch, Matthias; Schellenberger, Martin; Pfitzner, Lothar; Ryssel, Heiner; Zühlke, Hans-Ulrich
Zeitschriftenaufsatz
Journal Article
2012 Purity of ion beams: Analysis and simulation of mass spectra and mass interferences in ion implantation
Häublein, V.; Ryssel, H.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2012 A generic approach for comparing input filter efforts of voltage- and current source converters
Schramm, A.; Lanfer, H.; Petzoldt, J.; Rädel, U.; Schwarzmann, H.; Erlbacher, T.
Konferenzbeitrag
Conference Paper
2012 TiO2-based metal-insulator-metal structures for future DRAM storage capacitors
Fröhlich, K.; Hudec, B.; Tapajna, M.; Hueková, K.; Rosova, A.; Eliá, P.; Aarik, J.; Rammula, R.; Kasikov, A.; Arroval, T.; Aarik, L.; Murakami, Katsuhisa; Rommel, Mathias; Bauer, Anton J.
Konferenzbeitrag
Conference Paper
2012 Simple and efficient method to fabricate nano cone arrays by FIB milling demonstrated on planar substrates and on protruded structures
Rommel, M.; Bauer, A.J.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2012 IMPROVE - a joint European effort to boost efficiency in semiconductor manufacturing
Schellenberger, Martin; Koitzsch, Matthias; Roeder, Georg; Pfeffer, Markus; Schöpka, Ulrich; Mattes, Andreas; Pfitzner, Lothar
Vortrag
Presentation
2012 Feasibility and limitations of anti-fuses based on bistable non-volatile switches for power electronic applications
Erlbacher, T.; Huerner, A.; Bauer, A.J.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2012 Electrical characterization of nanostructured p-silicon electrodes for bioimpedance measurements on single cell level
Pliquett, Uwe; Westenthanner, Maximilian; Rommel, Mathias; Bauer, Anton J.; Beckmann, Dieter
Konferenzbeitrag
Conference Paper
2012 Fabrication and application of shielded probes for conductive AFM measurements
Jambreck, Joachim D.; Rommel, Mathias; Richter, Christoph; Weinzierl, Philip; Bauer, Anton J.; Frey, Lothar
Poster
2012 Stationsanordnung zur Bearbeitung und/oder Vermessen von Halbleiterscheiben sowie Bearbeitungsverfahren
Schellenberger, Martin; Lewke, Dirk
Patent
2012 Der "Zauberspiegel" als Messprinzip
Tobisch, Alexander; Schellenberger, Martin; Pfitzner, Lothar
Zeitschriftenaufsatz
Journal Article
2012 Influence of epilayer thickness and structural defects on the minority carrier lifetime in 4H-SiC
Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Azizi, Maral; Rommel, Mathias; Hecht, Christian; Friedrichs, Peter
Poster
2012 Reliability characterization of dielectrics in 200V trench capacitors
Erlbacher, Tobias; Schwarzmann, Holger; Bauer, Anton J.; Dorp, Joachim vom; Frey, Lothar
Poster
2012 Nanoscale characterization of TiO2 films grown by atomic layer deposition
Murakami, Katsuhisa; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Hudec, Boris; Rosova, A.; Hueková, K.; Fröhlich, Karol; Kasikov, A.; Ramula, R.; Aarik, J.; Han, J.H.; Han, S.; Lee, W.; Song, S.J.; Hwang, C.S.
Poster
2012 Hall effect characterizations of 4H-SiC MOSFETs: Influence of nitrogen channel implantation
Mortet, V.; Bedel-Pereira, E.; Bobo, J.; Strenger, C.; Uhnevionak, V.; Burenkov, A.; Cristiano, F.; Bauer, A.
Poster
2012 Novel cost-efficient contactless distributed monitoring concept for smart battery cells
Lorentz, V.R.H.; Wenger, M.M.; Grosch, J.L.; Giegerich, M.; Jank, M.P.M.; März, M.; Frey, L.
Konferenzbeitrag
Conference Paper
2012 Measurement strategy for dielectric ultra-thin film characterization by vacuum ultra-violet reflectometry
Gumprecht, T.; Roeder, G.; Schellenberger, M.; Pfitzner, L.
Konferenzbeitrag
Conference Paper
2012 Ohmic and rectifying contacts on bulk AlN for radiation detector applications
Erlbacher, Tobias; Bickermann, Matthias; Kallinger, Birgit; Meissner, Elke; Bauer, Anton J.; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2012 Wiederverwendbarer Tiegel aus einer Siliziumnitrid-Keramik sowie dessen Verwendung bei der Herstellung eines mono- oder multikristallinen Halbmetallkörpers aus einer Schmelze
Mono, T.; Schneider, Veronika; Martin, R.; Reimann, Christian; Friedrich, J.
Patent
2012 Effect of HfO2 polycrystallinity on distribution of the CAFM-induced TDDB in high-k gate stacks
Iglesias, V.; Erlbacher, T.; Rommel, M.; Murakami, K.; Bauer, A.J.; Frey, L.; Porti, M.; Martin-Martinez, J.; Rodriguez, R.; Nafria, M.; Aymerich, X.; Bersuker, G.
Poster
2012 Functional epoxy polymer for direct nano-imprinting of micro optical elements
Fader, Robert; Landwehr Johannes; Rumler, Maximilian; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Völkel, Reinhard; Brehm, Markus; Kraft, Andreas
Poster
2012 Solid-phase epitaxy of silicon amorphized by implantation of the alkali elements rubidium and cesium
Maier, R.; Häublein, V.; Ryssel, H.; Völlm, H.; Feili, D.; Seidel, H.; Frey, L.
Konferenzbeitrag
Conference Paper
2012 Characterization of grain boundaries in multicrystalline silicon with high lateral resolution using conductive atomic force microscopy
Rumler, Maximilian; Rommel, Mathias; Erlekampf, Jürgen; Azizi, Maral; Geiger, Tobias; Bauer, Anton J.; Meißner, Elke; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2012 Novel organic polymer for UV-enhanced substrate conformal imprint lithography
Fader, R.; Schmitt, H.; Rommel, M.; Bauer, A.J.; Frey, L.; Ji, R.; Hornung, M.; Brehm, M.; Vogler, M.
Zeitschriftenaufsatz
Journal Article
2012 Verification of near-interface traps by electrical measurements on 4H-SiC n-channel MOSFETs
Uhnevionak, V.; Strenger, C.; Burenkov, A.; Mortet, V.; Bedel-Pereira, E.; Cristiano, F.; Bauer, A.; Pichler, P.
Vortrag
Presentation
2012 Aufreinigung eines Tiegels für eine Halbleiterverarbeitung
Schneider, Veronika; Reimann, Christian; Friedrich, J.
Patent
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2011 Dielectric layers suitable for high voltage integrated trench capacitors
Dorp, J. vom; Erlbacher, T.; Bauer, A.J.; Ryssel, H.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 Verfahren zur Herstellung eines Siliziumschicht
Jank, M.; Lukas, S.
Patent
2011 Current voltage characteristics through grains and grain boundaries of high-k dielectric thin films measured by tunneling atomic force microscopy
Murakami, Katsuhisa; Rommel, Mathias; Yanev, Vasil; Bauer, Anton J.; Frey, Lothar
Konferenzbeitrag
Conference Paper
2011 Reliability of nitrided gate oxides for N- and P-type 4H-SiC(0001) metal-oxide-semiconductor devices
Noborio, M.; Grieb, M.; Bauer, A.J.; Peters, D.; Friedrichs, P.; Suda, J.; Kimoto, T.
Zeitschriftenaufsatz
Journal Article
2011 Traps and trapping phenomena and their implications on electrical behavior of high-k capacitor stacks
Paskaleva, A.; Lemberger, M.; Atanassova, E.; Bauer, A.J.
Zeitschriftenaufsatz
Journal Article
2011 Fluorine implantation for effective work function control in p-type metal-oxide-semiconductor high-k metal gate stacks
Fet, A.; Häublein, V.; Bauer, A.J.; Ryssel, H.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 Manufacturing, characterization, and application of nanoimprinted metallic probe demonstrators for electrical scanning probe microscopy
Jambreck, J.D.; Yanev, V.; Schmitt, H.; Rommel, M.; Bauer, A.J.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 Novel polymers for UV-enhanced substrate conformal imprint lithography
Fader, R.; Schmitt, H.; Rommel, M.; Bauer, A.J.; Frey, L.; Ji, R.; Hornung, M.; Brehm, M.; Vogler, M.
Poster
2011 Effect of increased oxide hole trap density due to nitrogen incorporation at the SiO2/SiC interface on F-N current degradation
Strenger, C.; Bauer, A.J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2011 Germanium substrate loss during thermal processing
Kaiser, R.J.; Koffel, S.; Pichler, P.; Bauer, A.J.; Amon, B.; Frey, L.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
2011 Amorphous silicon carbide thin films (a-SiC:H) deposited by plasma-enhanced chemical vapor deposition as protective coatings for harsh environment applications
Daves, W.; Krauss, A.; Behnel, N.; Häublein, V.; Bauer, A.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 Effects of oxygen and forming gas annealing on ZnO TFTs
Huang, J.; Radhakrishna, U.; Lemberger, M.; Jank, M.P.M.; Polster, S.; Ryssel, H.; Frey, L.
Konferenzbeitrag
Conference Paper
2011 Experiments and simulation of the diffusion and activation of the n-Type dopants P, As, and Sb implanted into germanium
Koffel, S.; Kaiser, R.J.; Bauer, A.J.; Amon, B.; Pichler, P.; Lorenz, J.; Frey, L.; Scheiblin, P.; Mazzocchi, V.; Barnes, J.-P.; Claverie, A.
Zeitschriftenaufsatz
Journal Article
2011 Dünnfilmtransistor
Jank, Michael; Teuber, Erik; Lemberger, M.; Huang, J.
Patent
2011 Tuning of charge carrier density of ZnO nanoparticle films by oxygen plasma treatment
Walther, S.; Polster, S.; Jank, M.P.M.; Thiem, H.; Ryssel, H.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 Jet printing of colloidal solutions - numerical modeling and experimental verification of the influence of ink and surface parameters on droplet spreading
Schneider, O.; Epple, P.; Teuber, E.; Meyer, B.; Jank, M.P.M.; Rauh, C.; Delgado, A.
Zeitschriftenaufsatz
Journal Article
2011 Comparative study on metallization and passivation materials for high temperature sensor applications
Daves, W.; Krauss, A.; Le-Huu, M.; Kronmüller, S.; Häublein, V.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2011 Implication of oxygen vacancies on current conduction mechanisms in TiN/Zr1-xAlxO2/TiN metal-insulator-metal structures
Paskaleva, A.; Lemberger, M.; Bauer, A.J.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 Modulares Steuerungskonzept für integrierte Messtechnik in der Halbleiterfertigung am Beispiel einer Mehrkammerprozessanlage
Schellenberger, Martin
Dissertation
Doctoral Thesis
2011 Electrical characterization of lateral 4H-SiC MOSFETs in the temperature range of 25 to 600 °C for harsh environment applications
Daves, W.; Krauss, A.; Häublein, V.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2011 Properties of SiO2 and Si3N4 as gate dielectrics for printed ZnO transistors
Walther, S.; Polster, S.; Meyer, B.; Jank, M.; Ryssel, H.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 Thermal Laser Separation (TLS) for separating multi-crystalline silicon wafers: A comparison with state-of-the-art methods
Koitzsch, Matthias; Schellenberger, Martin
Poster
2011 Determination of the dill parameters of thick positive resist for use in modeling applications
Roeder, G.; Liu, S.; Aygun, G.; Evanschitzky, P.; Erdmann, A.; Schellenberger, M.; Pfitzner, L.
Konferenzbeitrag
Conference Paper
2011 4H-SiC n-MOSFET logic circuits for high temperature operation
Le-Huu, M.; Grieb, M.; Schrey, F.F.; Schmitt, H.; Häublein, V.; Bauer, A.J.; Ryssel, H.; Frey, L.
Konferenzbeitrag
Conference Paper
2011 Dopant profiles in silicon created by MeV hydrogen implantation: Influence of annealing parameters
Laven, J.G.; Schulze, H.-J.; Häublein, V.; Niedernostheide, F.-J.; Schulze, H.; Ryssel, H.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 Influence of annealing parameters on surface roughness, mobility, and contact resistance of aluminium implanted 4H SiC
Schmitt, H.; Häublein, V.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2011 Life time evaluation of PDMS stamps for UV-enhanced substrate conformal imprint lithography
Schmitt, H.; Duempelmann, P.; Fader, R.; Rommel, M.; Bauer, A.J.; Frey, L.; Brehm, M.; Kraft, A.
Poster
2011 Current voltage characteristics through grains and grain boundaries of high-k dielectric thin films measured by tunneling atomic force microscopy
Murakami, K.; Rommel, M.; Yanev, V.; Bauer, A.J.; Frey, L.
Poster
2011 Developing a framework for virtual metrology and predictive maintenance
Schellenberger, Martin; Roeder, Georg; Mattes, Andreas; Pfeffer, Markus; Pfitzner, Lothar; Knapp, Alexander; Mühlberger, Heribert; Bichlmeier, Josef; Valeanu, Christian; Kyek, Andreas; Lenz, Benjamin; Frisch, Markus; Leditzky, Günther
Zeitschriftenaufsatz
Journal Article
2011 EPR investigations of non-oxidized silicon nanoparticles from thermal pyrolysis of silane
Körmer, R.; Otto, M.; Wu, J.; Jank, M.P.M.; Frey, L.; Peukert, W.
Zeitschriftenaufsatz
Journal Article
2011 Investigation of the reliability of 4H-SiC MOS devices for high temperature applications
Le-Huu, M.; Schmitt, H.; Noll, S.; Grieb, M.; Schrey, F.F.; Bauer, A.J.; Frey, L.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
2011 Enhancement of the stability of Ti and Ni ohmic contacts to 4H-SiC with a stable protective coating for harsh environment applications
Daves, W.; Krauss, A.; Häublein, V.; Bauer, A.J.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 High pressure oxidation of 4H-SiC in nitric acid vapor
Kalai Selvi, K.; Sreenidhi, T.; Dasgupta, N.; Ryssel, H.; Bauer, A.
Zeitschriftenaufsatz
Journal Article
2011 Virtual equipment. A test bench for virtual metrology algorithms
Mattes, Andreas; Lewke, Dirk; Schellenberger, Martin
Vortrag
Presentation
2011 Light confinement by structured metal tips for antenna-based scanning near-field optical microscopy
Jambreck, J.D.; Böhmler, M.; Rommel, M.; Hartschuh, A.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2011 Gate oxide reliability at the nano-scale evaluated by combining cAFM and CVS
Erlbacher, T.; Yanev, V.; Rommel, M.; Bauer, A.J.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 A virtual equipment as a test bench for evaluating virtual metrology algorithms
Mattes, Andreas; Koitzsch, Matthias; Lewke, Dirk; Müller-Zell, Michael; Schellenberger, Martin
Konferenzbeitrag
Conference Paper
2011 Characterization of thickness variations of thin dielectric layers at the nanoscale using scanning capacitance microscopy
Yanev, V.; Rommel, M.; Bauer, A.J.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 UV-enhanced substrate conformal imprint lithography using an epoxy based polymer
Fader, R.; Schmitt, H.; Rommel, M.; Bauer, A.J.; Frey, L.; Ji, R.; Hornung, M.; Brehm, M.; Kraft, A.
Poster
2011 A novel PWM control for a bi-directional full-bridge DC-DC converter with smooth conversion mode transitions
Lorentz, V.R.H.; Schwarzmann, H.; März, M.; Bauer, A.J.; Ryssel, H.; Frey, L.; Poure, P.; Braun, F.
Zeitschriftenaufsatz
Journal Article
2011 Monolithic RC-snubber for power electronic applications
Dorp, Joachim vom; Berberich, Sven E.; Erlbacher, Tobias; Bauer, Anton J.; Ryssel, Heiner; Frey, Lothar
Konferenzbeitrag
Conference Paper
2011 A highly sensitive evaluation method for the determination of different current conduction mechanisms through dielectric layers
Murakami, M.; Rommel, M.; Yanev, V.; Erlbacher, T.; Bauer, A.J.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 Conduction mechanisms and environmental sensitivity of solution-processed silicon nanoparticle layers for thin-film transistors
Weis, S.; Körmer, R.; Jank, M.P.M.; Lemberger, M.; Otto, M.; Ryssel, H.; Peukert, W.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2011 Simple and efficient method to fabricate nano-cone arrays by FIB milling demonstrated on planar substrates and on protruded structures
Rommel, M.; Bauer, A.J.; Frey, L.
Poster
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica