Publications Computational Lithography and Optics

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2011 Modellierung und Simulation bei Mask Aligner Lithographie (Source-Mask Optimization)
Vogler, U.; Bramati, A.; Völkel, R.; Hornung, M.; Zoberbier, R.; Motzek, M.; Erdmann, A.; Stürzebecher, L.; Zeitner, U.
Zeitschriftenaufsatz
Journal Article
2010 Efficient simulation of three-dimensional EUV masks for rigorous source mask optimization and mask induced imaging artifact analysis
Evanschitzky, P.; Fühner, T.; Shao, F.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2010 Optimization of illumination pupils and mask structures for proximity printing
Motzek, K.; Bich, A.; Erdmann, A.; Hornung, M.; Hennemeyer, M.; Meliorisz, B.; Hofmann, U.; Ünal, N.; Völkel, R.; Partel, S.; Hudek, P.
Konferenzbeitrag
Conference Paper
2010 Topography-aware BARC optimization for double patterning
Liu, S.; Fühner, T.; Shao, F.; Barenbaum, A.; Jahn, J.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2010 Rigorous EMF simulation of absorber shape variations and their impact on lithographic processes
Rahimi, Z.; Erdmann, A.; Evanschitzky, P.; Pflaum, C.
Konferenzbeitrag
Conference Paper
2010 Characterization of the scattering effect of complex mask geometries with surface roughness
Rahimi, Z.; Erdmann, A.; Pflaum, C.
Konferenzbeitrag
Conference Paper
2010 Mask diffraction analysis and optimization for extreme ultraviolet masks
Erdmann, A.; Evanschitzky, P.; Fühner, T.
Zeitschriftenaufsatz
Journal Article
2010 Modeling of exploration of reversible contrast enhacement layers for double exposure lithography
Shao, F.; Cooper, G.D.; Chen, Z.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2010 Modeling of double patterning interactions in litho-cure-litho-etch (LCLE) processes
Erdmann, A.; Shao, F.; Fuhrmann, J.; Fiebach, A.; Patsis, G.P.; Trefonas, P.
Konferenzbeitrag
Conference Paper
2010 Acid diffusion effects between resists in freezing processes used for contact hole patterning
Fuhrmann, J.; Fiebach, A.; Erdmann, A.; Trefonas, P.
Konferenzbeitrag
Conference Paper
2010 Extraordinary low transmission of a metamaterial for application in lithography
Dobmann, S.; Ploss, D.; Reibold, D.; Erdmann, A.; Peschel, U.
Konferenzbeitrag
Conference Paper
2010 Fast and highly accurate simulation of the printing behavior of EUV multilayer defects based on different models
Shao, F.; Evanschitzky, P.; Motzek, K.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2010 Mask and wafer topography effects in optical and EUV-lithography
Erdmann, A.; Shao, F.; Evanschitzky, P.; Fühner, T.
Konferenzbeitrag
Conference Paper
2010 Mask-topography-induced phase effects and wave aberrations in optical and extreme ultraviolet lithography
Erdmann, A.; Shao, F.; Evanschitzky, P.; Fühner, T.
Konferenzbeitrag
Conference Paper
2009 Extraordinary low transmission effects for ultra-thin patterned metal films
Reibold, D.; Shao, F.; Erdmann, A.; Peschel, U.
Zeitschriftenaufsatz
Journal Article
2009 Rigorous diffraction simulations of topographic wafer stacks in double patterning
Feng, S.; Evanschitzky, P.; Fühner, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2009 A model of self-limiting residual acid diffusion for pattern doubling
Fuhrmann, J.; Fiebach, A.; Uhle, M.; Erdmann, A.; Szmanda, C.R.; Truong, C.
Konferenzbeitrag
Conference Paper
2009 Lithography simulation: Modeling techniques and selected applications
Erdmann, A.; Fühner, T.; Shao, F.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2009 Lithographic importance of base diffusion in chemically amplified photoresists
Schnattinger, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2009 Efficient simulation and optimization of wafer topographies in double patterning
Shao, F.; Evanschitzky, P.; Fühner, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2009 Extended Abbe approach for fast and accurate lithography imaging simulations
Evanschitzky, P.; Erdmann, A.; Fühner, T.
Konferenzbeitrag
Conference Paper
2009 Finite Integration (FI) method for modelling optical waves in lithography masks
Rahimi, Z.; Erdmann, A.; Pflaum, C.
Konferenzbeitrag
Conference Paper
2009 Advanced lithography models for strict process control in the 32 nm technology node
Patsis, G.P.; Drygiannakis, D.; Raptis, I.; Gogolides, E.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2009 Efficient analysis of three dimensional EUV mask imaging artifacts using the waveguide decomposition method
Shao, F.; Evanschitzky, P.; Fühner, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2009 Mask diffraction analysis and optimization for EUV masks
Erdmann, A.; Evanschitzky, P.; Fühner, T.
Konferenzbeitrag
Conference Paper
2009 Exploration of linear and non-linear double exposure techniques by simulation
Petersen, J.S.; Greenway, R.T.; Fühner, T.; Evanschitzky, P.; Shao, F.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2009 Photomasks for semiconductor lithography: From simple shadow casters to complex 3D scattering objects
Erdmann, A.; Reibold, D.; Fühner, T.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2008 A simulation study on the impact of lithographic process variations on CMOS device performance
Fühner, T.; Kampen, C.; Kodrasi, I.; Burenkov, A.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2008 Photomasks for semiconductor lithography: From simple shadow casters to complex 3D scattering objects
Erdmann, A.; Reibold, D.; Fühner, T.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2008 On the stability of fully depleted SOI MOSFETs under lithography process variations
Kampen, C.; Fühner, T.; Burenkov, A.; Erdmann, A.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2008 Optimization of mask absorber stacks and illumination settings for contact hole imaging
Erdmann, A.; Fühner, T.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2008 Fast rigorous simulation of mask diffraction using the waveguide method with parallelized decomposition technique
Shao, F.; Evanschitzky, P.; Reibold, D.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2008 Investigation of high-resolution contact printing
Meliorisz, B.; Partel, S.; Schnattinger, T.; Fühner, T.; Erdmann, A.; Hudek, P.
Konferenzbeitrag
Conference Paper
2008 A comprehensive resist model for the prediction of line-edge roughness material and process dependencies in optical lithography
Schnattinger, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2008 Increasing the predictability of AIMSTM measurements by coupling to resist simulations
Meliorisz, B.; Erdmann, A.; Schnattinger, T.; Strößner, U.; Scherübl, T.; Bisschop, P. de; Philipsen, V.
Konferenzbeitrag
Conference Paper
2008 Rigorous electromagnetic field simulation of two-beam interference exposures for the exploration of double patterning and double exposure scenarios
Erdmann, A.; Evanschitzky, P.; Fühner, T.; Schnattinger, T.; Xu, C.B.; Szmanda, C.
Konferenzbeitrag
Conference Paper
2008 Simulation-based EUV source and mask optimization
Fühner, T.; Erdmann, A.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2008 Benchmark of rigorous methods for electromagnetic field simulations
Burger, S.; Zschiedrich, L.; Schmidt, F.; Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2007 Dr.LiTHO - a development and research lithography simulator
Fühner, T.; Schnattinger, T.; Ardelean, G.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2007 Memetic algorithms: Parametric optimization for microlithography
Dürr, C.; Fühner, T.; Tollkühn, B.; Erdmann, A.; Kokai, G.
Aufsatz in Buch
Book Article
2007 Simulation of mask proximity printing
Meliorisz, B.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2007 The impact of the mask stack and its optical parameters on the imaging performance
Erdmann, A.; Fühner, T.; Seifert, S.; Popp, S.; Evanschitzky, P.
Konferenzbeitrag
Conference Paper
2007 Rigorous electromagnetic field mask modeling and related lithographic effects in the low k(1) and ultrahigh numerical aperture regime
Erdmann, A.; Evanschitzky, P.
Zeitschriftenaufsatz
Journal Article
2007 Fast near field simulation of optical and EUV masks using the waveguide method
Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2007 Direct optimization approach for lithographic process conditions
Fühner, T.; Erdmann, A.; Seifert, S.
Zeitschriftenaufsatz
Journal Article
2007 Simulation of proximity and contact lithography
Meliorisz, B.; Evanschitzky, P.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2007 Impact of alternative mask stacks on the imaging performance at NA 1.20 and above
Philipsen, V.; Mesuda, K.; Bisschop, P. de; Erdmann, A.; Citarella, G.; Evanschitzky, P.; Birkner, R.; Richter, R.; Scherübl, T.
Konferenzbeitrag
Conference Paper
2007 Simulation of larger mask areas using the waveguide method with fast decomposition technique
Evanschitzky, P.; Shao, F.; Erdmann, A.; Reibold, D.
Konferenzbeitrag
Conference Paper
2006 Benchmark of a lithography simulation tool for next generation applications
Tollkühn, B.; Uhle, M.; Fuhrmann, J.; Gärtner, K.; Heubner, A.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Efficient optimization of lithographic process conditions using a distributed, combined global/local search approach
Fühner, T.; Popp, S.; Dürr, C.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 A fast development simulation algorithm for discrete resist models
Schnattinger, T.; Bär, E.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Rigorous mask modeling beyond the Hopkins approach
Schermer, J.; Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Rigorous mask modelling beyond the hopkins approach
Schermer, J.; Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Mask defect printing mechanisms for future lithography generations
Erdmann, A.; Graf, T.; Bubke, K.; Höllein, I.; Teuber, S.
Konferenzbeitrag
Conference Paper
2006 Accurate extraction of maximum current densities from the layout
Seidl, A.; Schnattinger, T.; Erdmann, A.; Hartmann, H.; Petrashenko, A.
Konferenzbeitrag
Conference Paper
2006 Three-dimensional resist development simulation with discrete models
Schnattinger, T.; Baer, E.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2006 Defect printability study using EUV lithography
Holfeld, C.; Bubke, K.; Lehmann, F.; La Fontaine, B.; Pawloski, A.R.; Schwarzl, S.; Kamm, F.M.; Graf, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Mesoscopic resist processing simulation in optical lithography
Schnattinger, T.; Bär, E.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Validity of the Hopkins approximation in simulations of hyper-NA (NA>1) line-space structures for an attenuated PSM mask
Erdmann, A.; Citarella, G.; Evanschitzky, P.; Schermer, H.; Philipsen, V.; Bisschop, P. de
Konferenzbeitrag
Conference Paper
2006 Rigorous mask modeling using waveguide and FDTD methods. An assessment for typical hyper NA imaging problems
Erdmann, A.; Evanschitzky, P.; Citarella, G.; Fühner, T.; Bisschop, P. de
Konferenzbeitrag
Conference Paper
2005 Simulation of the effect of a resist-surface bound air bubble on imaging in immersion lithography
Bisschop, P. de; Erdmann, A.; Rathsfeld, A.
Konferenzbeitrag
Conference Paper
2005 Mask modeling in the low k1 and ultrahigh NA regime: phase and polarization effects
Erdmann, A.
Konferenzbeitrag
Conference Paper
2005 Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm
Fühner, T.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2005 Mask modeling in the low k(1) and ultrahigh NA regime: Phase and polarization effects
Erdmann, A.
Konferenzbeitrag
Conference Paper
2005 Three dimensional EUV simulations: a new mask near field and imaging simulation system
Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2005 Correlation analysis: A fast and reliable method for a better understanding of simulation models in optical lithography
Tollkühn, B.; Heubner, A.; Elian, K.; Ruppenstein, B.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2005 Mask and wafer topography effects in immersion lithography
Erdmann, A.; Evanschitzky, P.; Bisschop, P. de
Konferenzbeitrag
Conference Paper
2005 Simplified resist models for efficient simulation of contact holes and line ends
Tollkühn, B.; Erdmann, A.; Semmler, A.; Nölscher, C.
Konferenzbeitrag
Conference Paper
2005 Modeling and simulation
Erdmann, A.
Aufsatz in Buch
Book Article
2005 Aerial image analysis for defective masks in optical lithography
Graf, T.; Erdmann, A.; Evanschitzky, P.; Tollkühn, B.; Eggers, K.; Ziebold, R.; Teuber, S.; Höllein, I.
Konferenzbeitrag
Conference Paper
2004 Verfahren zur Bestimmung von Strukturparametern einer Oberflaeche
Weidner, A.; Erdmann, A.; Schneider, C.
Patent
2004 Towards automatic mask and source optimization for optical lithography
Erdmann, A.; Fühner, T.; Schnattinger, T.; Tollkühn, B.
Konferenzbeitrag
Conference Paper
2004 Do we need complex resist models for predictive simulation of lithographic process performance?
Tollkühn, B.; Erdmann, A.; Lammers, J.; Nolscher, C.; Semmler, A.
Konferenzbeitrag
Conference Paper
2004 Process optimization using lithography simulation
Erdmann, A.
Konferenzbeitrag
Conference Paper
2004 Genetic algorithms to improve mask and illumination geometries in lithographic imaging systems
Fühner, T.; Erdmann, A.; Farkas, R.; Tollkühn, B.; Kokai, G.
Konferenzbeitrag
Conference Paper
2004 Genetic algorithms for geometry optimization in lithographic imaging systems
Fühner, T.; Erdmann, A.; Schnattinger, T.
Konferenzbeitrag
Conference Paper
2004 Enhanced model for the efficient 2D and 3D simulation of defective EUV masks
Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2004 Simulation is essential to successful design of modern semiconductors
Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2004 Genetic algorithm for optimization and calibration in process simulation
Fühner, T.; Erdmann, A.; Ortiz, C.J.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2004 The impact of EUV mask defects on lithographic process performance
Evanschitzky, P.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2003 Simulation of extreme ultraviolet masks with defective multilayers
Evanschitzky, P.; Erdmann, A.; Besacier, M.; Schiavone, P.
Konferenzbeitrag
Conference Paper
2003 Optical lithography for future technology generations
Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2003 EUV mask simulation for AIMS
Windpassinger, R.; Rosenkranz, N.; Scherübl, T.; Evanschitzky, P.; Erdmann, A.; Zibold, A.
Konferenzbeitrag
Conference Paper
2003 Mask and source optimization for lithographic imaging systems
Erdmann, A.; Farkas, R.; Fühner, T.; Tollkühn, B.; Kokai, G.
Konferenzbeitrag
Conference Paper
2003 Will Darwin's law help us to improve our resist models?
Tollkühn, B.; Fühner, T.; Matiut, D.; Erdmann, A.; Semmler, A.; Kuchler, B.; Kokai, B.
Konferenzbeitrag
Conference Paper
2003 Rigorous simulation of exposure over nonplanar wafers
Erdmann, A.; Kalus, C.K.; Schmöller, T.; Klyonova, Y.; Sato, T.; Endo, A.; Shibata, T.; Kobayashi, Y.
Konferenzbeitrag
Conference Paper
2003 Efficient simulation of light diffraction from 3-dimensional EUV-masks using field decomposition techniques
Erdmann, A.; Kalus, C.K.; Schmöller, T.; Wolter, A.
Konferenzbeitrag
Conference Paper
2003 Rigorous simulation of alignment for microlithography
Nikolaev, N.I.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2003 Rigorous simulation of defective EUV multilayer masks
Sambale, C.; Schmöller, T.; Erdmann, A.; Evanschitzky, P.; Kalus, C.
Konferenzbeitrag
Conference Paper
2003 New models for the simulation of post-exposure bake of chemically amplified resist
Matiut, D.; Erdmann, A.; Tollkühn, B.; Semmler, A.
Konferenzbeitrag
Conference Paper
2002 New methods to calibrate simulation parameters for chemically amplified resists
Tollkuehn, B.; Erdmann, A.; Kivel, N.; Robertson, S.A.; Kang, D.; Hansen, S.G.; Fumar, P.A.; Tsann, B.C.; Hoppe, W.
Konferenzbeitrag
Conference Paper
2002 Herstellung von optisch abgebildeten Strukturen mit einer Phasenschiebung von transmittierten Lichtanteilen
Erdmann, A.; Vial, A.
Patent
2002 Enhancements in rigorous simulation of light diffraction from phase-shift masks
Erdmann, A.; Kachwala, N.
Konferenzbeitrag
Conference Paper
2002 Modification of boundaries conditions in the FDTD algorithm for EUV masks modeling
Vial, A.; Erdmann, A.; Schmoeller, T.; Kalus, C.
Konferenzbeitrag
Conference Paper
2001 Effect of copper on the breakthrough voltage of Poly-Si - Poly-Si capacitors
Boehringer, M.; Pillion, J.E.; Erdmann, V.; Rygula, M.; Winz, K.; Brauchle, P.; Aquino, D.; Zhang, H.; Zahka, J.; Zielonka, G.; Hauber, J.
Konferenzbeitrag
Conference Paper
2001 Benchmarking of available rigorous electromagnetic field (EMF) simulators for phase-shift mask applications
Kalus, C.; List, S.; Erdmann, A.; Gordon, R.; McCallum, M.; Semmler, A.
Zeitschriftenaufsatz
Journal Article
2001 Topography effects and wave aberrations in advanced PSM technology
Erdmann, A.
Konferenzbeitrag
Conference Paper
2001 Optical simulation of 3D Mask effects
Rosenbusch, A.; Erdmann, A.; Friedrich, C.
Zeitschriftenaufsatz
Journal Article
2001 Trace analysis for 300 mm wafers and processes with total- reflection x-ray-fluorescence
Nutsch, A.; Erdmann, V.; Zielonka, G.; Pfitzner, L.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
2001 The impact of exposure induced refractive index changes of photoresists on the photolithographic process
Erdmann, A.; Henderson, C.L.; Willson, C.G.
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica