Publications

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2023 Comparison of Novel Charge-Based Wafer Inspection Technique to Optical Defect Mapping Techniques
Karhu, Robin; Nguyen, Sophia; Albrecht, Kevin M.; Polisski, Gennadi; Wilson, Marshall; Kallinger, Birgit
Poster
2023 Benchmarking experiment of substrate quality including SmartSiCTM wafers by epitaxy in a batch reactor
Kallinger, Birgit; Hens, Philip; Berwian, Patrick; Kranert, Christian; Albrecht, Kevin M.; Erlekampf, Jürgen
Meeting Abstract
2023 Microstructure and Mechanical Properties of Hypereutectic Al-High Si Alloys up to 70 wt.% Si-Content Produced from Pre-Alloyed and Blended Powder via Laser Powder Bed Fusion
Risse, Jan Henning; Trempa, Matthias; Huber, Florian; Höppel, Heinz Werner Werner; Bartels, Dominic; Schmidt, Michael P.; Reimann, Christian; Friedrich, Jochen
Zeitschriftenaufsatz
Journal Article
2023 Growth and characterization of thorium-doped calcium fluoride single crystals
Beeks, Kjeld; Sikorsky, Tomas; Rosecker, Veronika; Pressler, Martin; Schaden, Fabian; Werban, David; Hosseini, Niyusha Lajevardi; Rudischer, Lukas; Schneider, Felix; Berwian, Patrick; Friedrich, Jochen; Hainz, Dieter; Welch, Jan Matthew; Sterba, Johannes H.; Kazakov, George A.; Schumm, Thorsten
Zeitschriftenaufsatz
Journal Article
2023 The Influence of Extended Defects in 4H-SiC Epitaxial Layers on Gate Oxide Performance and Reliability
Schlichting, Holger; Lim, Minwho; Becker, Tom; Kallinger, Birgit; Erlbacher, Tobias
Aufsatz in Buch
Book Article
2023 Scalable Quantum Memory Nodes Using Nuclear Spins in Silicon Carbide
Parthasarathy, Shravan Kumar; Kallinger, Birgit; Kaiser, Florian; Berwian, Patrick; Dasari, Durga Bhaktavatsala Rao; Friedrich, Jochen; Nagy, Roland
Zeitschriftenaufsatz
Journal Article
2023 Modeling of solidification processes under consideration of particle transport in the melt under terrestrial and microgravity conditions
Koch, Holger; Hürner, Simone; Jauß, Thomas; Sorgenfrei, Tina; Hainke, Marc; Kranert, Christian; Friedrich, Jochen
Zeitschriftenaufsatz
Journal Article
2023 Benchmarking Experiment of Substrate Quality Including SmartSiC™ Wafers by Epitaxy in a Batch Reactor
Kallinger, Birgit; Hens, Philip; Kranert, Christian; Albrecht, Kevin M.; Erlekampf, Jürgen
Aufsatz in Buch
Book Article
2023 Study on Estimation of Device Yield in Non-Epitaxial 4H-SiC Material Relating to Defect Densities Influencing Bipolar Degradation with XRT- Measurements
Jayaprakash, H.; Csato, Constantin; Erlbacher, Tobias; Kranert, Christian; Krippendorf, Florian; Wimmer, Paul; Reimann, Christian; Rüb, Michael
Aufsatz in Buch
Book Article
2023 Analysis of the High-Pressure High-Temperature (HPHT) growth of single crystal diamond
Dossa, Scott S.; Ponomarev, Ilya S.; Feigelson, Boris N.; Hainke, Marc; Kranert, Christian; Friedrich, Jochen; Derby, Jeffrey J.
Zeitschriftenaufsatz
Journal Article
2023 Long-Term Stability of Novel Crucible Systems for the Growth of Oxygen-Free Czochralski Silicon Crystals
Sturm, Felix; Trempa, Matthias; Schuster, Gordian; Hegermann, Rainer; Goetz, Philipp; Wagner, R.; Barroso, Gilvan Sérgio; Meisner, P.; Reimann, Christian; Friedrich, Jochen
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2022 Investigation of Facetted Growth in Heavily Doped Silicon Crystals Grown in Mirror Furnaces
Gruner, Sebastian; Kranert, Christian; Jauß, Thomas; Sorgenfrei, Tina; Reimann, Christian; Friedrich, Jochen
Zeitschriftenaufsatz
Journal Article
2022 Statistical investigation of dislocation induced leakage current paths in AlGaN/GaN HEMT structures on Si and the impact of growth conditions
Besendörfer, Sven; Meißner, Elke; Friedrich, Jochen
Zeitschriftenaufsatz
Journal Article
2022 Numerical Analysis of Gas Flow Instabilities in Simplified Vertical HVPE GaN Reactors
Zenk, Markus; Lukin, Gleb; Bastin, Dirk; Doradziński, Robert; Beyer, Franziska; Meißner, Elke; Friedrich, Jochen
Zeitschriftenaufsatz
Journal Article
2022 Fabrication and nanophotonic waveguide integration of silicon carbide colour centres with preserved spin-optical coherence
Babin, C.; Stöhr, R.; Morioka, N.; Linkewitz, T.; Steidl, T.; Wörnle, R.; Liu, D.; Hesselmeier, E.; Vorobyov, V.; Denisenko, A.; Hentschel, M.; Gobert, C.; Berwian, P.; Astakhov, G.V.; Knolle, W.; Majety, S.; Saha, P.; Radulaski, M.; Son, N.T.; Ul-Hassan, J.; Kaiser, F.; Wrachtrup, J.
Zeitschriftenaufsatz
Journal Article
2022 Homoepitaxial growth and defect characterization of 4H-SiC
Kallinger, Birgit; Kranert, Christian; Schade, Zara Mercedes; Rommel, Mathias; Berwian, Patrick
Meeting Abstract
2022 A High-Efficiency High-Power-Density SiC-Based Portable Charger for Electric Vehicles
Ditze, Stefan; Ehrlich, Stefan; Weitz, N.; Sauer, Marco; Aßmus, Frank; Sacher, Anne; Joffe, Christopher; Seßler, Christoph; Meißner, Patrick
Zeitschriftenaufsatz
Journal Article
2022 Material evaluation for engineering a novel crucible setup for the growth of oxygen free Czochralski silicon crystals
Sturm, Felix; Trempa, Matthias; Schuster, G.; Götz, P.; Wagner, R.; Barroso, G.; Meisner, P.; Reimann, Christian; Friedrich, Jochen
Zeitschriftenaufsatz
Journal Article
2022 Benchmarking experiment of substrate quality including SmartSiC™ wafers by epitaxy in a batch reactor
Kallinger, Birgit; Hens, P.; Berwian, Patrick; Kranert, Christian; Albrecht, K.M.; Erlekampf, J.
Meeting Abstract
2022 Homoepitaxial Growth of 4H-SiC on Vicinal Substrates
Kallinger, Birgit
Aufsatz in Buch
Book Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2021 Verfahren zur Reduzierung von strukturellen Beschädigungen an der Oberfläche von einkristallinen Aluminiumnitrid-Substraten und derart herstellbare einkristalline Aluminiumnitrid-Substrate
Epelbaum, Boris; Müller, Stephan; Meißner, Elke
Patent
2021 Current Status of Carbon-Related Defect Luminescence in GaN
Zimmermann, F.; Beyer, J.; Röder, C.; Beyer, F.C.; Richter, E.; Irmscher, K.; Heitmann, J.
Zeitschriftenaufsatz
Journal Article
2021 A carbon-doping related luminescence band in GaN revealed by below bandgap excitation
Zimmermann, F.; Beyer, J.; Beyer, F.C.; Gärtner, G.; Gamov, I.; Irmscher, K.; Richter, E.; Weyers, M.; Heitmann, J.
Zeitschriftenaufsatz
Journal Article
2021 Ammonothermal Materials
Schnick, W.; Cordes, N.; Mallmann, M.; Niewa, R.; Meissner, E.
Aufsatz in Buch
Book Article
2021 Preface
Meissner, E.; Niewa, R.
Aufsatz in Buch
Book Article
2021 Factors influencing the gas bubble evolution and the cristobalite formation in quartz glass Cz crucibles for Czochralski growth of silicon crystals
Hirsch, A.; Schulze, M.; Sturm, F.; Trempa, M.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2021 Lifetime limiting defects in 4H-SiC epitaxial layers: The influence of substrate originated defects
Erlekampf, Jürgen; Rommel, Mathias; Rosshirt-Lilla, Katharina; Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Erlbacher, Tobias
Zeitschriftenaufsatz
Journal Article
2021 Physically-based, lumped-parameter models for the prediction of oxygen concentration during Czochralski growth of silicon crystals
Wang, K.; Koch, H.; Trempa, M.; Kranert, C.; Friedrich, J.; Derby, J.J.
Zeitschriftenaufsatz
Journal Article
2021 X-Ray diffraction analysis and modeling of the depth profile of lattice strains in AlGaN stacks
Rafaja, D.; Fischer, P.; Barchuk, M.; Motylenko, M.; Röder, C.; Besendörfer, S.; Meissner, E.
Zeitschriftenaufsatz
Journal Article
2021 Numerical forecast of redzone extension in cast silicon ingots in dependence on the purity level of crucible, coating and feedstock
Schwanke, S.; Trempa, M.; Schuck, K.; Kranert, C.; Müller, M.; Reimann, C.; Kuczynski, M.; Schroll, G.; Sans, J.; Stenzenberger, J.; Hesse, K.; Heitmann, J.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2021 A Low-Cost Al-Graphite Battery with Urea and Acetamide-Based Electrolytes
Jach, F.; Wassner, M.; Bamberg, M.; Brendler, E.; Frisch, G.; Wunderwald, U.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2021 Assessment of residual melt removal as approach to reduce the top redzone of cast silicon ingots
Kranert, C.; Ghosh, M.; Hamacher, M.; Bähr, T.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2021 The long journey from crystal growth to power devices, the role of material development for III-nitride semiconductors
Meissner, E.; Besendörfer, S.; Faraji, S.; Bahat-Treidel, E.; Würfl, J.
Konferenzbeitrag
Conference Paper
2021 High-Performance n-Type Ge-Free Silicon Thermoelectric Material from Silicon Waste
Liu, Z.; Zhang, Q.; Wolff, U.; Blum, C.G.F.; He, R.; Bahrami, A.; Beier-Ardizzon, M.; Reimann, C.; Friedrich, J.; Reith, H.; Schierning, G.; Nielsch, K.
Zeitschriftenaufsatz
Journal Article
2021 Doping-related photoluminescence spectroscopy in 4H-SiC
Kallinger, Birgit; Schlichting, Holger; Kocher, Matthias; Rommel, Mathias; Berwian, Patrick
Poster
2021 A New Perspective on Growth of GaN from the Basic Ammonothermal Regime
Meissner, E.; Jockel, D.; Koch, M.; Niewa, R.
Aufsatz in Buch
Book Article
2021 Facet growth and geometry of the growth ridge during dynamic Czochralski processes
Kranert, C.; Raming, G.; Miller, A.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2021 Metal contamination of silicon from the furnace atmosphere after crystallization
Kranert, C.; Trempa, M.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2021 Influence of crucible properties and Si3N4-coating composition on the oxygen concentration in multi-crystalline silicon ingots
Schwanke, S.; Trempa, M.; Reimann, C.; Kuczynski, M.; Schroll, G.; Sans, J.; Heitmann, J.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2020 Impact of different SiO2 diffusion barrier layers on lifetime distribution in multi-crystalline silicon ingots
Trempa, M.; Sturm, F.; Kranert, C.; Schwanke, S.; Reimann, C.; Friedrich, J.; Schenk, C.
Zeitschriftenaufsatz
Journal Article
2020 The impact of dislocations on AlGaN/GaN Schottky diodes and on gate failure of high electron mobility transistors
Besendörfer, S.; Meissner, E.; Medjoub, F.; Derluyn, J.; Friedrich, J.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2020 Stress evolution in thick GaN layers grown by HVPE
Lukin, G.; Meissner, E.; Friedrich, J.; Habel, F.; Leibiger, G.
Zeitschriftenaufsatz
Journal Article
2020 Characterization of Silicon Crystals Grown from Melt in a Granulate Crucible
Dadzis, K.; Menzel, R.; Juda, U.; Irmscher, K.; Kranert, C.; Müller, M.; Ehrl, M.; Weingärtner, R.; Reimann, C.; Abrosimov, N.; Riemann, H.
Zeitschriftenaufsatz
Journal Article
2020 Vertical breakdown of GaN on Si due to V-pits
Besendörfer, S.; Meissner, E.; Tajalli, A.; Meneghini, M.; Freitas, J.A.; Derluyn, J.; Medjdoub, F.; Meneghesso, G.; Friedrich, J.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2020 Influence of Shallow Pits and Device Design of 4H-SiC VDMOS Transistors on In-Line Defect Analysis by Photoluminescence and Differential Interference Contrast Mapping
Kocher, Matthias; Schlichting, Holger; Kallinger, Birgit; Rommel, Mathias; Bauer, A.J.; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2020 High breakdown voltage and low buffer trapping in superlattice gan-on-silicon heterostructures for high voltage applications
Tajalli, A.; Meneghini, M.; Besendörfer, S.; Kabouche, R.; Abid, I.; Püsche, R.; Derluyn, J.; Degroote, S.; Germain, M.; Meissner, E.; Zanoni, E.; Medjdoub, F.; Meneghesso, G.
Zeitschriftenaufsatz
Journal Article
2020 X-ray characterization of physical-vapor-transport-grown bulk AlN single crystals
Wicht, T.; Müller, S.; Weingartner, R.; Epelbaum, B.; Besendörfer, S.; Bläß, U.; Weisser, M.; Unruh, T.; Meissner, E.
Zeitschriftenaufsatz
Journal Article
2020 Verfahren und Tiegel zur Herstellung von partikel- und stickstoff-freien Silicium-Ingots mittels gerichteter Erstarrung, Silicium-Ingot und die Verwendung des Tiegels
Reimann, Christian; Trempa, Matthias; Schwanke, Stanislaus; Kranert, Christian; Friedrich, Jochen
Patent
2020 Vertical Leakage in GaN-on-Si Stacks Investigated by a Buffer Decomposition Experiment
Tajalli, A.; Borga, M.; Meneghini, M.; Santi, C.D.; Benazzi, D.; Besendörfer, S.; Püsche, R.; Derluyn, J.; Degroote, S.; Germain, M.; Kabouche, R.; Abid, I.; Meissner, E.; Zanoni, E.; Medjdoub, F.; Meneghesso, G.
Zeitschriftenaufsatz
Journal Article
2020 Investigation of gas bubble growth in fused silica crucibles for silicon Czochralski crystal growth
Hirsch, A.; Trempa, M.; Kupka, I.; Schmidtner, L.; Kranert, C.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2020 Solid state diffusion of metallic impurities from crucible and coating materials into crystalline silicon ingots for PV application
Sturm, F.; Trempa, M.; Schwanke, S.; Schuck, K.; Kranert, C.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2020 Remarkable Breakdown Voltage on AlN/AlGaN/AlN double heterostructure
Abid, I.; Kabouche, R.; Medjdoub, F.; Besendörfer, S.; Meissner, E.; Derluyn, J.; Degroote, S.; Germain, M.; Miyake, H.
Konferenzbeitrag
Conference Paper
2020 Interplay between C-doping, threading dislocations, breakdown, and leakage in GaN on Si HEMT structures
Besendörfer, S.; Meissner, E.; Zweipfennig, T.; Yacoub, H.; Fahle, D.; Behmenburg, H.; Kalisch, H.; Vescan, A.; Friedrich, J.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2020 In-Situ Preparation of GaN Sacrificial Layers on Sapphire Substrate in MOVPE Reactor for Self-Separation of the Overgrown GaN Crystal
Faraji, S.; Meissner, E.; Weingärtner, R.; Besendörfer, S.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2019 Silicon waste from the photovoltaic industry - A material source for the next generation battery technology?
Beier, M.; Reimann, C.; Friedrich, J.; Peuker, U.A.; Leißner, T.; Gröschel, M.; Ischenko, V.
Konferenzbeitrag
Conference Paper
2019 Wässrige Suspension enthaltend Metallcarbid-Partikel
Schwanke, Stanislaus; Müller, Stephan; Meißner, Elke; Epelbaum, Boris; Friedrich, Jochen; Reimann, Christian; Becker, Lucas
Patent
2019 Erlangen - An Important Center of Crystal Growth and Epitaxy. Major Scientific Results and Technological Solutions of the Last Four Decades
Friedrich, J.; Müller, G.
Zeitschriftenaufsatz
Journal Article
2019 Considerations on the limitations of the growth rate during pulling of silicon crystals by the Czochralski technique for PV applications
Friedrich, J.; Jung, T.; Trempa, M.; Reimann, C.; Denisov, A.; Muehe, A.
Zeitschriftenaufsatz
Journal Article
2019 Verfahren zur Herstellung von polykristallinem Silizium
Berwian, Patrick
Patent
2019 Influence of substrate properties on the defectivity and minority carrier lifetime in 4H-SiC homoepitaxial layers
Kallinger, B.; Erlekampf, J.; Rosshirt, K.; Berwian, P.; Stockmeier, M.; Vogel, M.; Hens, P.; Wischmeyer, F.
Konferenzbeitrag
Conference Paper
2019 Analysis of the geometry of the growth ridges and correlation to the thermal gradient during growth of silicon crystals by the Czochralski-method
Stockmeier, Ludwig; Kranert, Christian; Fischer, Peter; Epelbaum, Boris; Reimann, Christian; Friedrich, Jochen; Raming, Georg; Miller, Alfred
Zeitschriftenaufsatz
Journal Article
2019 Methodology for the investigation of threading dislocations as a source of vertical leakage in AlGaN/GaN-HEMT heterostructures for power devices
Besendörfer, S.; Meissner, E.; Lesnik, A.; Friedrich, J.; Dadgar, A.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2019 Theoretical aspects and microstructural investigations on V-pit defects in HVPE grown GaN
Knetzger, M.; Meissner, E.; Schröter, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2019 Corrigendum to "Particle engulfment dynamics under oscillating crystal growth conditions" [J. Crystal Growth 468 (2017) 24-27]
Tao, Y.; Sorgenfrei, T.; Jauß, T.; Cröll, A.; Reimann, C.; Friedrich, J.; Derby, J.J.
Zeitschriftenaufsatz
Journal Article
2019 Tiegel zur Herstellung von multikristallinem Silicium mittels gerichteter Erstarrung, Verfahren zu dessen Herstellung und dessen Verwendung, sowie Verfahren zur Herstellung von multikristallinem Silicium mittels gerichteter Erstarrung
Schwanke, Stanislaus; Reimann, Christian; Kuczynski, Maciej; Sans, Jürgen; Hoislbauer, Christoph; Friedrich, Jochen; Schroll, Georg
Patent
2019 Deeper insight into lifetime-engineering in 4H-SiC by ion implantation
Erlekampf, J.; Kallinger, B.; Weiße, J.; Rommel, M.; Berwian, P.; Friedrich, J.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2019 Production of high performance multi-crystalline silicon ingots for PV application by using contamination-free SixNy seed particles
Schwanke, S.; Trempa, M.; Reimann, C.; Kuczynski, M.; Schroll, G.; Sans, J.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2019 Grain boundaries in multicrystalline silicon
Trempa, M.; Müller, G.; Friedrich, J.; Reimann, C.
Aufsatz in Buch
Book Article
2019 Laser Writing of Scalable Single Color Centers in Silicon Carbide
Chen, Y.-C.; Salter, P.S.; Niethammer, M.; Widmann, M.; Kaiser, F.; Nagy, R.; Morioka, N.; Babin, C.; Erlekampf, J.; Berwian, P.; Booth, M.J.; Wrachtrup, J.
Zeitschriftenaufsatz
Journal Article
2019 Evaluation of improvement strategies of grain structure properties in high performance multi-crystalline silicon ingots
Trempa, M.; Kranert, C.; Kupka, I.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2019 Thermoelectric properties of silicon and recycled silicon sawing waste
He, R.; Heyn, W.; Thiel, F.; Pérez, N.; Damm, C.; Pohl, D.; Rellinghaus, B.; Reimann, C.; Beier, M.; Friedrich, J.; Zhu, H.; Ren, Z.; Nielsch, K.; Schierning, G.
Zeitschriftenaufsatz
Journal Article
2019 Minority carrier lifetime measurements on 4H-SiC epiwafers by time-resolved photoluminescence and microwave detected photoconductivity
Beyer, J.; Schüler, N.; Erlekampf, J.; Kallinger, B.; Berwian, P.; Dornich, K.; Heitmann, J.
Konferenzbeitrag
Conference Paper
2019 Heteroepitaxial growth of GaN on sapphire substrates by high temperature vapor phase epitaxy
Lukin, G.; Schneider, T.; Förste, M.; Barchuk, M.; Schimpf, C.; Röder, C.; Zimmermann, F.; Niederschlag, E.; Pätzold, O.; Beyer, F.C.; Rafaja, D.; Stelter, M.
Zeitschriftenaufsatz
Journal Article
2019 Verfahren zur Herstellung von Kristallmaterialien
Friedrich, Jochen; Stockmeier, Ludwig; Wunderwald, Ulrike
Patent
2019 Influence of shallow pits and device design of 4H-SiC VDMOS transistors on in-line defect analysis by using PL scanning
Kocher, Matthias; Schlichting, Holger; Kallinger, Birgit; Rommel, Mathias; Bauer, A.J.; Erlbacher, Tobias
Poster
2019 Lifetime engineering in 4H-SiC materials and devices
Rommel, Mathias; Erlekampf, Jürgen; Kallinger, Birgit; Weiße, Julietta; Berwian, Patrick; Friedrich, Jochen; Erlbacher, Tobias
Vortrag
Presentation
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2018 Elektronik
Bauer, Anton; Bär, Eberhard; Erlbacher, Tobias; Friedrich, Jochen; Lorenz, Jürgen; Rommel, Mathias; Schellenberger, Martin
Aufsatz in Buch
Book Article
2018 Influence and mutual interaction of process parameters on the Z1/2 defect concentration during epitaxy of 4H-SiC
Erlekampf, Jürgen; Kaminzky, Daniel; Rosshirt, Katharina; Kallinger, Birgit; Rommel, Mathias; Berwian, Patrick; Friedrich, Jochen; Frey, Lothar
Konferenzbeitrag
Conference Paper
2018 Edge facet dynamics during the growth of heavily doped n-type silicon by the Czochralski-method
Stockmeier, Ludwig; Kranert, Christian; Raming, Georg; Miller, Alfred; Reimann, Christian; Rudolph, Peter; Friedrich, Jochen
Zeitschriftenaufsatz
Journal Article
2018 Nano- and micro-patterned S-, H- and X-PDMS for cell-based applications: Comparison of wettability, roughness and cell-derived parameters
Scharin-Mehlmann, Marina; Häring, Aaron; Rommel, Mathias; Dirnecker, Tobias; Friedrich, Oliver; Frey, Lothar; Gilbert, Daniel F.
Zeitschriftenaufsatz
Journal Article
2018 Principle of lifetime-engineering in 4H-SiC by ion implantation
Erlekampf, Jürgen; Kallinger, Birgit; Berwian, Patrick; Rommel, Mathias; Friedrich, Jochen; Frey, Lothar; Erlbacher, Tobias
Poster
2018 Influence of triangular defects on the electrical characteristics of 4H-SiC devices
Schoeck, J.; Schlichting, H.; Kallinger, B.; Erlbacher, T.; Rommel, Mathias; Bauer, A.J.
Konferenzbeitrag
Conference Paper
2018 Influence of substrate properties on the defectivity and minority carrier lifetime in 4H-SiC homoepitaxial layers
Kallinger, Birgit; Erlekampf, Jürgen; Roßhirt, Katharina; Berwian, Patrick; Stockmeier, Matthias; Vogel, Michael; Hens, Philip; Wischmeyer, Frank
Vortrag
Presentation
2018 Optical stressing of 4H-SiC material and devices
Kallinger, B.; Kaminzky, D.; Berwian, P.; Friedrich, J.; Oppel, S.
Konferenzbeitrag
Conference Paper
2018 The GaN trench gate MOSFET with floating islands
Shen, L.; Müller, S.; Cheng, X.; Zhang, D.; Zheng, L.; Xu, D.; Yu, Y.; Meissner, E.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2018 Defects and carrier lifetime in 4H-Silicon Carbide
Kallinger, Birgit; Erlekampf, Jürgen; Rommel, Mathias; Berwian, Patrick; Friedrich, J.; Matthus, Christian D.
Vortrag
Presentation
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2017 Influence of triangular defects on the electrical characteristics of 4H-SiC devices
Schöck, Johannes; Schlichting, Holger; Kallinger, Birgit; Erlbacher, Tobias; Rommel, Mathias; Bauer, A.J.
Poster
2017 Evolution of grain structure and recombination active dislocations in extraordinary tall conventional and high performance multi-crystalline silicon ingots
Trempa, M.; Kupka, I.; Kranert, C.; Lehmann, T.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2017 Tiegel zur Herstellung von Silicium-Ingots, Verfahren zu dessen Herstellung sowie Silicium-Ingot
Schneider, Veronika; Reimann, Christian; Friedrich, Jochen; Sans, Jürgen; Kuczynski, Maciej
Patent
2017 Optical stressing of 4H-SiC material and devices
Kallinger, Birgit; Kaminzky, Daniel; Berwian, Patrick; Friedrich, Jochen; Oppel, Steffen
Poster
2017 A practical example of GaN-LED failure cause analysis by application of combined electron microscopy techniques
Meissner, E.; Haeckel, M.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2017 Waste heat energy harvesting by use of BaTiO3 for pyroelectric hydrogen generation
Belitz, R.; Meisner, P.; Coeler, Matthias; Wunderwald, U.; Friedrich, Jochen; Zosel, J.; Schelter, Matthias; Jachalke, Sven; Mehner, Erik
Zeitschriftenaufsatz
Journal Article
2017 Particle engulfment dynamics under oscillating crystal growth conditions
Tao, Y.; Sorgenfrei, T.; Jauß, T.; Cröll, A.; Reimann, C.; Friedrich, J.; Derby, J.J.
Zeitschriftenaufsatz
Journal Article
2017 Dynamic Modeling of Critical Velocities for the Pushing/Engulfment Transition in the Si-SiC System Under Gravity Conditions
Kundin, J.; Aufgebauer, H.; Reimann, C.; Seebeck, J.; Friedrich, J.; Jauss, T.; Sorgenfrei, T.; Croell, A.
Zeitschriftenaufsatz
Journal Article
2017 Influence of different nucleation layers on the initial grain structure of multicrystalline silicon ingots
Kupka, I.; Lehmann, T.; Trempa, M.; Kranert, C.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2017 A quantitative model with new scaling for silicon carbide particle engulfment during silicon crystal growth
Derby, J.J.; Tao, Y.; Reimann, C.; Friedrich, J.; Jauß, T.; Sorgenfrei, T.; Cröll, A.
Zeitschriftenaufsatz
Journal Article
2017 Dislocation formation in heavily As-doped Czochralski grown silicon
Stockmeier, Ludwig; Lehmann, Lothar; Miller, Alfred; Reimann, Christian; Friedrich, Jochen
Zeitschriftenaufsatz
Journal Article
2017 Engulfment and pushing of Si3N4 and SiC particles during directional solidification of silicon under microgravity conditions
Friedrich, J.; Reimann, C.; Jauss, T.; Cröll, A.; Sorgenfrei, T.; Tao, Y.; Derby, J.J.
Zeitschriftenaufsatz
Journal Article
2017 Influence and mutual interaction of process parameters on the Z1/2 defect concentration during epitaxy of 4H-SiC
Erlekampf, Jürgen; Kaminzky, Daniel; Roßhirt, Katharina; Kallinger, Birgit; Rommel, Mathias; Berwian, Patrick; Friedrich, Jochen; Frey, Lothar
Poster
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2016 Wetting and infiltration of nitride bonded silicon nitride by liquid silicon
Schneider, V.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2016 Bipolar degradation of 6.5 kV SiC pn-Diodes: Result prediction by photoluminescence
Wehrhahn-Kilian, L.; Dohnke, K.O.; Kaminzky, D.; Kallinger, B.; Oppel, S.
Konferenzbeitrag
Conference Paper
2016 Investigations of critical structural defects in active layers of GaN-on-Si for power electronic devices
Knetzger, M.; Meissner, E.; Derluyn, J.; Germain, M.; Friedrich, J.
Konferenzbeitrag
Conference Paper
2016 Influence of different seed materials on multi-crystalline silicon ingot properties
Reimann, C.; Trempa, M.; Lehmann, T.; Rosshirt, K.; Stenzenberger, J.; Friedrich, J.; Hesse, K.; Dornberger, E.
Zeitschriftenaufsatz
Journal Article
2016 Phase-field simulations of particle capture during the directional solidification of silicon
Aufgebauer, H.; Kundin, J.; Emmerich, H.; Azizi, M.; Reimann, C.; Friedrich, J.; Jauß, T.; Sorgenfrei, T.; Cröll, A.
Zeitschriftenaufsatz
Journal Article
2016 Nitride bonded silicon nitride as a reusable crucible material for directional solidification of silicon
Schneider, V.; Reimann, C.; Friedrich, J.; Müller, G.
Zeitschriftenaufsatz
Journal Article
2016 Electrically inactive dopants in heavily doped as-grown czochralski silicon
Stockmeier, L.; Elsayed, M.; Krause-Rehberg, R.; Zschorsch, M.; Lehmann, L.; Friedrich, J.
Konferenzbeitrag
Conference Paper
2016 Low inductance 2.5kV packaging technology for SiC switches
Mouawad, B.; Li, J.; Castellazzi, A.; Johnson, C.M.; Erl-Bacher, T.; Friedrichs, P.
Konferenzbeitrag
Conference Paper
2016 Correlation of carbon doping variations with the vertical breakdown of GaN-on-Si for power electronics
Knetzger, M.; Meissner, E.; Derluyn, J.; Germain, M.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2016 Dislocation formation in seed crystals induced by feedstock indentation during growth of quasimono crystalline silicon ingots
Trempa, M.; Beier, M.; Reimann, C.; Roßhirth, K.; Friedrich, J.; Löbel, C.; Sylla, L.; Richter, T.
Zeitschriftenaufsatz
Journal Article
2016 Clarification of the relation between the grain structure of industrial grown mc-Si and the area fraction of electrical active defects by means of statistical grain structure evaluation
Lehmann, T.; Reimann, C.; Meissner, E.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2016 Imaging defect luminescence of 4H-SiC by ultraviolet-photoluminescence
Berwian, Patrick; Kaminzky, Daniel; Roßhirt, Katharina; Kallinger, Birgit; Friedrich, Jochen; Oppel, Steffen; Schneider, Adrian; Schütz, Michael
Konferenzbeitrag
Conference Paper
2016 Synthesis of metastable Co4N, Co3N, Co2N, and CoO0.74N0.24 from a single azide precursor and intermediates in CoBr2 ammonolysis
Widenmeyer, M.; Shlyk, L.; Becker, N.; Dronskowski, R.; Meissner, E.; Niewa, R.
Zeitschriftenaufsatz
Journal Article
2016 Interaction of SiC particles with moving solid-liquid interface during directional solidification of silicon
Friedrich, J.; Reimann, C.; Jauss, T.; Cröll, A.; Sorgenfrei, T.
Zeitschriftenaufsatz
Journal Article
2016 Modelling of effective minority carrier lifetime in 4H-SiC n-type epilayers
Kaminzky, Daniel; Kallinger, Birgit; Berwian, Patrick; Rommel, Mathias; Friedrich, Jochen
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2015 Imaging defect luminescence of 4H-SiC by UV-photoluminescence
Kaminzky, Daniel; Roßhirt, Katharina; Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Oppel, Steffen; Schneider, Adrian; Schütz, Michael
Vortrag
Presentation
2015 Thermal simulation of paralleled SiC PiN diodes in a module designed for 6.5 kV/1 kA
Bayer, Christoph Friedrich; Bär, Eberhard; Kallinger, Birgit; Berwian, Patrick
Konferenzbeitrag
Conference Paper
2015 Quality control of SiC materials by optical detection of defects
Kallinger, Birgit; Kaminzky, Daniel; Roßhirt, Katharina; Berwian, Patrick; Friedrich, Jochen; Oppel, Steffen
Vortrag
Presentation
2015 Defect Luminescence Scanner (DLS): Scientific and industrial-scale defect analysis
Oppel, Steffen; Schneider, Adrian; Schütz, Michael; Kaminzky, Daniel; Kallinger, Birgit; Weber, Jonas; Krieger, Michael
Vortrag
Presentation
2015 Species transport by natural convection of supercritical ammonia
Seebeck, J.; Savva, P.; Erlekampf, J.; Meissner, E.; Friedrich, J.; Frey, L.
Konferenzbeitrag
Conference Paper
2015 Modelling of effective minority carrier lifetimes in 4H-SiC n-type epilayers
Kaminzky, Daniel; Kallinger, Birgit; Berwian, Patrick; Rommel, Mathias; Friedrich, Jochen
Poster
2015 Charge pumping measurements on differently passivated lateral 4H-SiC MOSFETs
Salinaro, A.; Pobegen, G.; Aichinger, T.; Zippelius, B.; Peters, D.; Friedrichs, P.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2015 Functionalization of PDMS surfaces through micro- or nano-patterning to control the cellular adhesion
Scharin, Marina; Häring, Aron; Dirnecker, Tobias; Rommel, Mathias; Marhenke, Julius; Friedrich, O.; Gilbert, D.F.; Herrmann, Martin; Frey, Lothar
Vortrag
Presentation
2015 Influence of grain boundaries intentionally induced between seed plates on the defect generation in quasi-mono-crystalline silicon ingots
Trempa, M.; Reimann, C.; Friedrich, J.; Müller, G.; Krause, A.; Sylla, L.; Richter, T.
Zeitschriftenaufsatz
Journal Article
2015 Czochralski growth of silicon crystals
Friedrich, J.; Ammon, W. von; Müller, G.
Aufsatz in Buch
Book Article
2015 Characterization and application of nano- and microstructured silicon-polymer-based surfaces for manipulation of cells
Scharin, Marina; Haering, Aaron; Gilbert, D.; Dirnecker, Tobias; Stumpf, Florian; Rommel, Mathias; Friedrich, Oliver; Frey, Lothar
Poster
2015 Optical in-situ monitoring system for simultaneous measurement of thickness and curvature of thick layer stacks during hydride vapor phase epitaxy growth of GaN
Semmelroth, K.; Berwian, P.; Schroter, C.; Leibiger, G.; Schonleber, M.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2015 Imaging defect luminescence measurements of 4H-SiC by UV-PL
Kaminzky, Daniel; Roßhirt, Katharina; Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Oppel, Steffen; Schneider, Adrian; Schütz, Michael
Vortrag
Presentation
2015 Characterization and application of nano- and microstructured PDMS surfaces for manipulation of cells
Scharin, Marina; Gilbert, D.; Rommel, Mathias; Dirnecker, Tobias; Stumpf, Florian; Haering, A.; Friedrich, O.; Frey, Lothar
Vortrag
Presentation
2015 Controlling cellular adhesion through micro- or nanopatterning of silicone-based surfaces to improve biomedical devices for in vitro based applications
Scharin, Marina; Rommel, Mathias; Dirnecker, Tobias; Stumpf, Florian; Zipfel, J.; Marhenke, J.; Friedrich, O.; Glibert, D.F.; Herrmann, M.; Frey, Lothar
Poster
2015 Improvement of 4H-SiC material quality
Kallinger, Birgit; Kaminzky, Daniel; Rommel, Mathias; Berwian, Patrick; Friedrich, Jochen
Vortrag
Presentation
2015 Assessment of dicing induced damage and residual stress on the mechanical and electrical behavior of chips
Fuegl, M.; Mackh, G.; Meissner, E.; Frey, L.
Konferenzbeitrag
Conference Paper
2015 Investigation of iron contamination of seed crystals and its impact on lifetime distribution in Quasimono silicon ingots
Trempa, M.; Reimann, C.; Friedrich, J.; Müller, G.; Sylla, L.; Krause, A.; Richter, T.
Zeitschriftenaufsatz
Journal Article
2015 Bioactivation and functionalization of PDMS surfaces to control cellular adhesion behaviour by micro- or nanopatterning and plasma treatment
Scharin, Marina; Rommel, Mathias; Dirnecker, Tobias; Stumpf, Florian; Zipfel, J.; Marhenke, J.; Friedrich, O.; Gilbert, D.F.; Herrmann, M.; Frey, Lothar
Poster
2015 Response of as grown dislocation structure to temperature and stress treatment in multi-crystalline silicon
Reimann, C.; Friedrich, J.; Meissner, E.; Oriwol, D.; Sylla, L.
Zeitschriftenaufsatz
Journal Article
2015 Influence of growth temperature on the defect density for 4H-SiC homoepitaxy
Kaminzky, Daniel; Roßhirt, Katharina; Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen
Poster
2015 Structural and optical properties of AlN grown by solid source solution growth method
Kangawa, Y.; Suetsugu, H.; Knetzger, M.; Meissner, E.; Hazu, K.; Chichibu, S.F.; Kajiwara, T.; Tanaka, S.; Iwasaki, Y.; Kakimoto, K.
Zeitschriftenaufsatz
Journal Article
2015 Guest Editors' Preface
Klimm, D.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2014 Verfahren zur Behandlung und/oder Recycling von Säge-Slurries
Azizi, Maral; Reimann, Christian; Friedrich, Jochen; Blankenburg, Hans-Joachim; Colditz, Rainer
Patent
2014 Silicon carbide in power electronics: Overcoming the obstacle of bipolar degradation
Friedrich, J.; Kallinger, B.; Ehlers, C.; Berwian, P.
Vortrag
Presentation
2014 Laue scanner: A new method for determination of grain orientations and grain boundary types of multicrystalline silicon on a full wafer scale
Lehmann, T.; Trempa, M.; Meissner, E.; Zschorsch, M.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2014 Temperature and electrical field dependence of the ambipolar mobility in n-doped 4H-SiC
Hürner, A.; Bonse, C.; Clemmer, G.; Kallinger, B.; Heckel, T.; Erlbacher, T.; Mitlehner, H.; Häublein, V.; Bauer, A.J.; Frey, L.
Konferenzbeitrag
Conference Paper
2014 Comparison of carrier lifetime measurements and mapping in 4H SiC using time resolved photoluminescence and m-PCD
Kallinger, Birgit; Rommel, Mathias; Lilja, Louise; Hassan, Jawad ul; Booker, Ian; Janzen, Erik; Bergman, Peder
Konferenzbeitrag
Conference Paper
2014 HCl assisted growth of thick 4H-SiC epilayers for bipolar devices
Kallinger, Birgit; Ehlers, Christian; Berwian, Patrick; Rommel, Mathias; Friedrich, Jochen
Konferenzbeitrag
Conference Paper
2014 Preferred grain orientations in silicon ribbons grown by the string ribbon and the edge-defined film-fed growth methods
Stockmeier, L.; Müller, G.; Seidl, A.; Lehmann, T.; Reimann, C.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2014 Silicon carbide in power electronics: Overcoming the obstacle of bipolar degradation
Kallinger, Birgit; Ehlers, Christian; Berwian, Patrick; Friedrich, Jochen; Rommel, Mathias
Vortrag
Presentation
2014 On the formation mechanism of chromium nitrides: An in situ study
Widenmeyer, M.; Meissner, E.; Senyshyn, A.; Niewa, R.
Zeitschriftenaufsatz
Journal Article
2014 Defect formation induced by seed-joints during directional solidification of quasi-mono-crystalline silicon ingots
Trempa, M.; Reimann, C.; Friedrich, J.; Müller, G.; Krause, A.; Sylla, L.; Richter, T.
Zeitschriftenaufsatz
Journal Article
2014 Verfahren zur Herstellung einer diffusionshemmenden Beschichtung, Tiegel zum Schmelzen und/oder Kristallisieren von Nicht-Eisen Metallen sowie Verwendungszwecke
Reimann, Christian; Obermeier, Sebastian; Trempa, Matthias; Schneider, Veronika; Friedrich, Jochen
Patent
2014 Synchrotron radiation x-ray topography and defect selective etching analysis of threading dislocations in GaN
Sintonen, S.; Rudzinski, M.; Suihkonen, S.; Jussila, H.; Knetzger, M.; Meissner, E.; Danilewsky, A.; Tuomi, T.O.; Lipsanen, H.
Zeitschriftenaufsatz
Journal Article
2014 Analytical stress characterization after different chip separation methods
Fuegl, M.; Mackh, G.; Meissner, E.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2014 New Defect Luminescence Scanner for Inline Control of Material Quality
Kallinger, Birgit; Kaminzky, Daniel; Berwian, Patrick; Oppel, Steffen; Schütz, Michael; Schneider, Adrian; Krieger, Michael; Weber, Jonas; Friedrich, Jochen
Poster
2014 Verfahren und Vorrichtung zur Bestimmung der Schmelzhöhe und zur Regulation der Erstarrung und Schmelzung in einem Tiegel
Friedrich, Jochen; Reimann, Christian; Wunderwald, Ulrike
Patent
2014 Large- and small-angle grain boundaries in multi-crystalline silicon and implications for the evolution of grain boundaries during crystal growth
Carl, E.R.; Danilewsky, A.; Meissner, E.; Geiger, T.
Zeitschriftenaufsatz
Journal Article
2014 Formation and decomposition of iron nitrides observed by in situ powder neutron diffraction and thermal analysis
Widenmeyer, M.; Hansen, T.C.; Meissner, E.; Niewa, R.
Zeitschriftenaufsatz
Journal Article
2014 Defektlumineszenz in 4H-SiC
Kaminzky, Daniel; Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Oppel, Steffen; Schütz, Michael
Vortrag
Presentation
2014 Numerical time-dependent 3D simulation of flow pattern and heat distribution in an ammonothermal system with various baffle shapes
Erlekampf, J.; Seebeck, J.; Savva, P.; Meissner, E.; Friedrich, J.; Alt, N.S.A.; Schlücker, E.; Frey, L.
Konferenzbeitrag
Conference Paper
2014 Preface. 8th International Workshop on Bulk Nitrides Semiconductors, IWBNS 2013
Freitas, J.A.; Meissner, E.; Paskova, T.; Miyake, H.
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2013 Experimental verification of the model by Klapper for 4H-SiC homoepitaxy on vicinal substrates
Kallinger, Birgit; Polster, Sebastian; Berwian, Patrick; Friedrich, Jochen; Danilewsky, A.N.
Zeitschriftenaufsatz
Journal Article
2013 Influence of epilayer thickness and structural defects on the minority carrier lifetime in 4H-SiC
Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Azizi, Maral; Rommel, Mathias; Hecht, Christian; Friedrichs, Peter
Konferenzbeitrag
Conference Paper
2013 Step-controlled homoepitaxial growth of 4H-SiC on vicinal substrates
Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Thomas, Bernd
Zeitschriftenaufsatz
Journal Article
2013 Dependence of phosphorus gettering and hydrogen passivation efficacy on grain boundary type in multicrystalline silicon
Karzel, P.; Ackermann, M.; Gröner, L.; Reimann, C.; Zschorsch, M.; Meyer, S.; Kiessling, F.; Riepe, S.; Hahn, G.
Zeitschriftenaufsatz
Journal Article
2013 Non-isothermal model experiments and numerical simulations for directional solidification of multicrystalline silicon in a traveling magnetic field
Dadzis, K.; Niemietz, K.; Pätzold, O.; Wunderwald, U.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2013 Comparative study of the luminescence of Al2O3:C and Al2O3 crystals under synchrotron radiation excitation
Zorenko, Y.; Fabisiak, K.; Zorenko, T.; Mandowski, A.; Xia, Q.; Batentschuk, M.; Friedrich, J.; Zhusupkalieva, G.
Zeitschriftenaufsatz
Journal Article
2013 Numerical parameter studies of 3D melt flow and interface shape for directional solidification of silicon in a traveling magnetic field
Vizman, D.; Dadzis, K.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2013 HCl assisted growth of thick 4H-SiC epilayers for bipolar devices
Kallinger, Birgit; Ehlers, Christian; Berwian, Patrick; Rommel, Mathias; Friedrich, Jochen
Poster
2013 Constitutional supercooling in Czochralski growth of heavily doped silicon crystals
Friedrich, J.; Stockmeier, L.; Müller, G.
Zeitschriftenaufsatz
Journal Article
2013 Comparison of carrier lifetime measurements and mapping using time resolved photoluminescence and µ-PCD
Kallinger, Birgit; Rommel, Mathias; Lilja, L.; Hassan, J.; Booker, Ian; Janzen, Erik; Bergman, J.P.
Poster
2013 Hydrogen passivation and phosphorous gettering at different grain boundary types in multicrystalline silicon
Karzel, P.; Ackermann, M.; Gröner, L.; Reimann, C.; Zschorsch, M.; Meyer, S.; Hahn, G.
Konferenzbeitrag
Conference Paper
2013 Fehlpassung bei der Homo- und Heteroepitaxie
Kallinger, Birgit
Vortrag
Presentation
2013 Unsteady coupled 3D calculations of melt flow, interface shape, and species transport for directional solidification of silicon in a traveling magnetic field
Dadzis, K.; Vizman, D.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2013 Combined global 2D-local 3D modeling of the industrial Czochralski silicon crystal growth process
Jung, T.; Seebeck, J.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2013 SXRT investigations on electrically stressed 4H-SiC PiN diodes for 6.5 kV
Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Hecht, Christian; Peters, Dethard; Friedrichs, Peter; Thomas, Bernd
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2012 Mono-crystalline growth in directional solidification of silicon with different orientation and splitting of seed crystals
Trempa, M.; Reimann, C.; Friedrich, J.; Müller, G.; Oriwol, D.
Zeitschriftenaufsatz
Journal Article
2012 Analysis of the growth conditions of long single crystalline basal-plane-faceted sapphire ribbons by the Stepanov/EFG technique
Denisov, A.V.; Molchanov, A.; Punin, Y.O.; Krymov, V.M.; Müller, G.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
2012 Analysis of threading dislocations in 4H-SiC by defect selective etching and X-ray topography
Kallinger, Birgit; Berwian, P.; Friedrich, J.; Danilewsky, A.; Wehrhahn, A.; Weber, A.-D.
Vortrag
Presentation
2012 Considerations on the effect of interstitial and precipitated Fe in intentionally Fe-doped mc-silicon
Azizi, M.; Meissner, E.; Friedrich, J.
Konferenzbeitrag
Conference Paper
2012 Systematic characterization of multi-crystalline silicon String Ribbon wafer
Reimann, C.; Müller, G.; Friedrich, J.; Lauer, K.; Simonis, A.; Wätzig, H.; Krehan, S.; Hartmann, R.; Kruse, A.
Zeitschriftenaufsatz
Journal Article
2012 Development of a novel in situ monitoring technology for ammonothermal reactors
Alt, N.S.A.; Meissner, E.; Schluecker, E.
Zeitschriftenaufsatz
Journal Article
2012 SXRT investigations on electrically stressed 4H-SiC PiN diodes for 6.5 kV
Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Hecht, Christian; Peters, Dethard; Friedrichs, Peter; Thomas, Bernd
Poster
2012 Ohmic and rectifying contacts on bulk AlN for radiation detector applications
Erlbacher, Tobias; Bickermann, Matthias; Kallinger, Birgit; Meissner, Elke; Bauer, Anton J.; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
2012 Wiederverwendbarer Tiegel aus einer Siliziumnitrid-Keramik sowie dessen Verwendung bei der Herstellung eines mono- oder multikristallinen Halbmetallkörpers aus einer Schmelze
Mono, T.; Schneider, Veronika; Martin, R.; Reimann, Christian; Friedrich, J.
Patent
2012 Kristalle - Wunderwerkstoffe für die Industrie
Friedrich, J.; Müller, G.
Aufsatz in Buch
Book Article
2012 Doping induced lattice misfit in 4H-SiC homoepitaxy
Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Müller, Georg; Weber, Arnd-Dietrich; Volz, Eduard; Trachta, Gerd; Spiecker, Erdmann; Thomas, Bernd
Zeitschriftenaufsatz
Journal Article
2012 Preface
Freitas Jr., J.A.; Sitar, Z.; Kumagai, Y.; Meissner, E.
Zeitschriftenaufsatz
Journal Article
2012 In situ monitoring technologies for ammonthermal reactors
Alt, N.; Meissner, E.; Schlücker, E.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2012 Cathodoluminescence imaging for the determination of dislocation density in differently doped HVPE GaN
Meissner, E.; Schweigard, S.; Friedrich, J.; Paskova, T.; Udwary, K.; Leibiger, G.; Habel, F.
Zeitschriftenaufsatz
Journal Article
2012 Aufreinigung eines Tiegels für eine Halbleiterverarbeitung
Schneider, Veronika; Reimann, Christian; Friedrich, J.
Patent
2012 Influence of epilayer thickness and structural defects on the minority carrier lifetime in 4H-SiC
Kallinger, Birgit; Berwian, Patrick; Friedrich, Jochen; Azizi, Maral; Rommel, Mathias; Hecht, Christian; Friedrichs, Peter
Poster
2012 Characterization of grain boundaries in multicrystalline silicon with high lateral resolution using conductive atomic force microscopy
Rumler, Maximilian; Rommel, Mathias; Erlekampf, Jürgen; Azizi, Maral; Geiger, Tobias; Bauer, Anton J.; Meißner, Elke; Frey, Lothar
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2011 Reliability of nitrided gate oxides for N- and P-type 4H-SiC(0001) metal-oxide-semiconductor devices
Noborio, M.; Grieb, M.; Bauer, A.J.; Peters, D.; Friedrichs, P.; Suda, J.; Kimoto, T.
Zeitschriftenaufsatz
Journal Article
2011 Device useful for producing silicon blocks, comprises a container for receiving a silicon melt with a base, an inner side, an outer side and a central longitudinal axis
Radel, G.; Nauert, D.; Trempa, M.; Dadzis, K.; Dietrich, M.; Proske, S.; Reimann, C.; Friedrich, J.; Freudenberg, B.
Patent
2011 Liquid phase epitaxy (LPE) of GaN on c- and r-faces of AlN substrates
Azizi, M.; Meissner, E.; Friedrich, J.; Müller, G.
Zeitschriftenaufsatz
Journal Article
2011 Numerical study of the influence of different types of magnetic fields on the interface shape in directional solidification of multi-crystalline silicon ingots
Tanasie, C.; Vizman, D.; Friedrich, J.
Konferenzbeitrag
Conference Paper
2011 4H-SiC homoepitaxial growth on substrates with different off-cut directions
Kallinger, B.; Thomas, B.; Berwian, P.; Friedrich, J.; Trachta, G.; Weber, A.-D.
Konferenzbeitrag
Conference Paper
2011 The 7th International Workshop on Bulk Nitride Semiconductors. Preface
Freitas, J.A.; Sitar, Z.; Kumagai, Y.; Meissner, E.
Konferenzbeitrag
Conference Paper
2011 Device for producing silicon blocks, comprises vessel, which is provided to receive silicon melt and has bottom, inner side, outer side and middle-longitudinal axis, supporting plate, and unit for generating inhomogeneous temperature field
Freudenberg, B.; Radel, G.; Trempa, M.; Dadzis, K.; Dietrich, M.; Nauert, D.; Proske, S.; Reimann, C.; Friedrich, J.
Patent
2011 Phonons in SiAs: Raman scattering study and DFT calculations
Kutzner, J.; Kortus, J.; Pätzold, O.; Wunderwald, U.; Irmer, G.
Zeitschriftenaufsatz
Journal Article
2011 DEVICE AND METHOD FOR THE PRODUCTION OF SILICON BLOCKS
Freudenberg, Bernhard; Hollatz, Mark; Trempa, Matthias; Reimann, Christian; Friedrich, Jochen
Patent
2011 Versetzungsverhalten bei der Homoepitaxie von hexagonalem Siliziumkarbid (4H-SiC)
Kallinger, Birgit
Dissertation
Doctoral Thesis
2011 Homoepitaxial growth and defect characterization of 4H-SiC epilayers
Kallinger, Birgit; Thomas, Bernd; Berwian, Patrick; Friedrich, Jochen; Weber, Arnd-Dietrich; Volz, Eduard; Trachta, Gerd; Spiecker, Erdmann
Vortrag
Presentation
2011 Threading dislocations in n- and p-type 4H-SiC material analyzed by etching and synchrotron x-ray topography
Kallinger, B.; Polster, S.; Berwian, P.; Friedrich, J.; Müller, G.; Danilewsky, A.N.; Wehrhahn, A.; Weber, A.-D.
Zeitschriftenaufsatz
Journal Article
2011 Model experiments and numerical simulations for directional solidification of multicrystalline silicon in a traveling magnetic field
Dadzis, K.; Ehrig, J.; Niemietz, K.; Pätzold, O.; Wunderwald, U.; Friedrich, J.
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica