Publications TCAD

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2025 Radial thermal transport from heated silicon nanowires: Molecular dynamics simulations and compact engineering models
Burenkov, Alexander; Pichler, Peter
Zeitschriftenaufsatz
Journal Article
2024 Transient-Enhanced Diffusion of Implanted Aluminum in 4H-SiC
Mletschnig, Kristijan Luka; Michałowski, Piotr Paweł; Pichler, Peter
Aufsatz in Buch
Book Article
2024 TCAD modeling and simulation of self-limiting oxide growth and boron segregation during vertical silicon nanowire processing
Rossi, Chiara; Müller, Jonas; Pichler, Peter; Piotr Michałowski, Paweł; Larrieu, Guilhem
Zeitschriftenaufsatz
Journal Article
2023 CMOS-compatible manufacturability of sub-15 nm Si/SiO2/Si nanopillars containing single Si nanodots for single electron transistor applications
Borany, Johannes von; Engelmann, Hans-Jürgen; Heinig, Karl-Heinz; Amat, Esteve; Hlawacek, Gregor; Klüpfel, Fabian J.; Hübner, René; Möller, Wolfhard; Pourteau, Marie-Line; Rademaker, Guido; Rommel, Mathias; Baier, Leander; Pichler, Peter; Perez-Murano, Francesc; Tiron, Raluca
Zeitschriftenaufsatz
Journal Article
2023 Performance of vertical gate-all-around nanowire p-MOS transistors determined by boron depletion during oxidation
Rossi, Chiara; Burenkov, Alex; Pichler, Peter; Bär, Eberhard; Müller, Jonas; Larrieu, Guilhem
Zeitschriftenaufsatz
Journal Article
2023 Prediction of the evolution of defects induced by the heated implantation process: Contribution of kinetic Monte Carlo in a multi-scale modeling framework
Julliard, Pierre-Louis; Johnsson, Anna; Zographos, Nik; Demoulin, Richard; Monflier, Richard; Jay, Antoine; Er-Riyahi, Oussama; Monsieur, Frédéric; Joblot, Sylvain; Deprat, Frédéric; Rideau, Denis; Pichler, Peter; Hémeryck, Anne; Cristiano, Fuccio
Zeitschriftenaufsatz
Journal Article
2023 Secondary ion mass spectrometry quantification of boron distribution in an array of silicon nanowires
Michałowski, Pawel Piotr; Müller, Jonas; Rossi, Chiara; Burenkov, Alexander; Bär, Eberhard; Larrieu, Guilhem; Pichler, Peter
Zeitschriftenaufsatz
Journal Article
2022 Comparison of Annealing Quality after 3e15/cm2 50 keV BF2+ implant between Rapid Thermal Annealing and Furnace Annealing
Sturm-Rogon, Leonhard; Burenkov, Alexander; Cristiano, Fuccio; Eckert, Dirk; Johnsson, Anna; Neumeier, Karl; Pichler, Peter; Eisele, Ignaz; Lerch, Wilfried
Zeitschriftenaufsatz
Journal Article
2022 Process Simulation
Lorenz, Jürgen; Pichler, Peter
Aufsatz in Buch
Book Article
2022 Aluminum Activation in 4H-SiC Measured on Laterally Contacted MOS Capacitors with a Buried Current-Spreading Layer
Mletschnig, Kristijan Luka; Rommel, Mathias; Pobegen, Gregor; Schustereder, Werner; Pichler, Peter
Konferenzbeitrag
Conference Paper
2022 A Review of Platinum Diffusion in Silicon and its Application for Lifetime Engineering in Power Devices
Johnsson, Anna; Schmidt, Gerhard; Hauf, Moritz; Pichler, Peter
Review
2021 Tracing the Boron Diffusion into a Textured Silicon Solar Cell by Combining Boron Diffusion Simulation with Experimental and Simulated Scanning Transmission Electron Beam Induced Current
Meyer, Tobias; Ehrlich, David A.; Pichler, Peter; Titova, Valeriya; Flathmann, Christoph; Schmidt, Jan; Seibt, Michael
Paper
2021 Intrinsic nano-diffusion-couple for studying high temperature diffusion in multi-component superalloys
Eggeler, Yolita; Kubacka, Dorota; Pichler, Peter; Wu, Mingjian; Spiecker, Erdmann
Zeitschriftenaufsatz
Journal Article
2021 Molecular Dynamics Simulations Supporting the Development of a Continuum Model of Heat Transport in Nanowires
Bejenari, Igor; Burenkov, Alexander; Pichler, Peter; Deretzis, Ioannis; Sciuto, Alberto; La Magna, Antonino
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2020 An Iterative Surface Potential Algorithm including Interface Traps for Compact Modeling of SiC-MOSFETs
Albrecht, M.; Klüpfel, F.J.; Erlbacher, T.
Zeitschriftenaufsatz
Journal Article
2020 Advanced Simulations on Laser Annealing: Explosive Crystallization and Phonon Transport Corrections
Sciuto, Alberto; Deretzis, Ioannis; Fisicaro, Giuseppe; Lombardo, Salvatore F.; Magna, Antonino la; Grimaldi, Maria Grazia; Huet, Karim; Lespinasse, Bobby; Verstraete, Armand; Curvers, Benoit; Bejenari, Igor; Burenkov, Alexander; Pichler, Peter
Konferenzbeitrag
Conference Paper
2020 Molecular Dynamics Modeling of the Radial Heat Transfer from Silicon Nanowires
Bejenari, Igor; Burenkov, Alexander; Pichler, Peter; Deretzis, Ioannis; Magna, Antonino la
Konferenzbeitrag
Conference Paper
2020 Study of the manufacture uncertainty impact of the hybrid SET-FET circuit
Amat, E.; Moral, A. del; Klüpfel, F.; Bausells, J.; Perez-Murano, F.
Konferenzbeitrag
Conference Paper
2019 Influence of Sacrificial Layer Germanium Content on Stacked-Nanowire FET Performance
Klüpfel, Fabian J.
Zeitschriftenaufsatz
Journal Article
2019 Influence of Quantum Dot Characteristics on the Performance of Hybrid SET-FET Circuits
Amat, Esteve; Klüpfel, Fabian; Bausells, Joan; Perez-Murano, Francesc
Zeitschriftenaufsatz
Journal Article
2019 Diffusion of phosphorus and boron from Atomic Layer Deposition oxides into silicon
Beljakova, Svetlana; Pichler, Peter; Kalkofen, Bodo; Hübner, René
Zeitschriftenaufsatz
Journal Article
2019 Process variability - technological challenge and design issue for nanoscale devices
Lorenz, Jürgen; Bär, Eberhard; Barraud, Sylvain; Brown, Andrew R.; Evanschitzky, Peter; Klüpfel, Fabian; Wang, Liping
Zeitschriftenaufsatz
Journal Article
2019 On a Novel Source Technology for Deep Aluminum Diffusion for Silicon Power Electronics
Rattmann, Gudrun; Pichler, Peter; Erlbacher, Tobias
Zeitschriftenaufsatz
Journal Article
2019 A Compact Model Based on Bardeens Transfer Hamiltonian Formalism for Silicon Single Electron Transistors
Klüpfel, Fabian J.
Zeitschriftenaufsatz
Journal Article
2019 Channeling in 4H-SiC from an Application Point of View
Pichler, Peter; Sledziewski, Tomasz; Häublein, Volker; Bauer, A.J.; Erlbacher, Tobias
Konferenzbeitrag
Conference Paper
2018 Platinum in Silicon after Post-Implantation Annealing: From Experiments to Process and Device Simulations
Hauf, Moritz; Schmidt, Gerhard; Niedernostheide, Franz-Josef; Johnsson, Anna; Pichler, Peter
Konferenzbeitrag
Conference Paper
2018 Elektronik
Bauer, Anton; Bär, Eberhard; Erlbacher, Tobias; Friedrich, Jochen; Lorenz, Jürgen; Rommel, Mathias; Schellenberger, Martin
Aufsatz in Buch
Book Article
2018 Optimized 2D positioning of windings in inductive components by genetic algorithm
Rosskopf, Andreas; Knoerzer, Karsten; Baer, Eberhard; Ehrlich, Stefan
Konferenzbeitrag
Conference Paper
2018 Process variability for devices at and beyond the 7 nm node
Lorenz, Juergen; Asenov, Asen; Baer, Eberhard; Barraud, Sylvain; Kluepfel, Fabian; Millar, Campbell; Nedjalkov, Mihail
Zeitschriftenaufsatz
Journal Article
2018 Autonomous circuit design of a resonant converter (LLC) for on-board chargers using genetic algorithms
Rosskopf, A.; Volmering, S.; Ditze, S.; Joffe, C.; Bär, E.
Konferenzbeitrag
Conference Paper
2018 Process variability for devices at and beyond the 7 nm node
Lorenz, Jürgen; Asenov, Asen; Bär, Eberhard; Barraud, Sylvain; Millar, Campbell; Nedjalkov, Mihail
Konferenzbeitrag
Conference Paper
2018 Modeling of block copolymer dry etching for directed self-assembly lithography
Belete, Zelalem; Bär, Eberhard; Erdmann, Andreas
Konferenzbeitrag
Conference Paper
2018 The effect of etching and deposition processes on the width of spacers created during self-aligned double patterning
Baer, Eberhard; Lorenz, Juergen
Konferenzbeitrag
Conference Paper
2018 Quantum dot location relevance into SET-FET circuits based on FinFET devices
Amat, Esteve; Moral, Alberto del; Bausells, Joan; Perez-Murano, Francesc; Klüpfel, Fabian
Konferenzbeitrag
Conference Paper
2017 Silicon self-interstitial properties deduced from platinum profiles after annealing with controlled cooling
Johnsson, Anna; Pichler, Peter; Schmidt, Gerhard
Zeitschriftenaufsatz
Journal Article
2017 Optimization of 4H-SiC photodiodes as selective UV sensors
Matthus, C.D.; Burenkov, A.; Erlbacher, T.
Konferenzbeitrag
Conference Paper
2017 3D simulation of silicon-based single-electron transistors
Klüpfel, Fabian J.; Pichler, Peter
Konferenzbeitrag
Conference Paper
2016 Verfahren zum Herstellen eines Metall-Keramik-Substrates und zugehöriges Metall-Keramik-Substrat
Bayer, Christoph; Waltrich, Uwe; Schletz, Andreas; Bär, Eberhard; Meyer, Andreas
Patent
2016 Partial discharges in ceramic substrates - correlation of electric field strength simulations with phase resolved partial discharge measurements
Bayer, Christoph Friedrich; Waltrich, Uwe; Soueidan, Amal; Baer, Eberhard; Schletz, Andreas
Konferenzbeitrag
Conference Paper
2016 Enhancement of the partial discharge inception voltage of DBCs by adjusting the permittivity of the encapsulation
Bayer, Christoph Friedrich; Waltrich, Uwe; Soueidan, Amal; Schneider, Richard; Bär, Eberhard; Schletz, Andreas
Konferenzbeitrag
Conference Paper
2016 Influence of varying bundle structures on power electronic systems simulated by a coupled approach of FEM and PEEC
Rosskopf, A.; Schuster, S.; Endruschat, A.; Bär, E.
Konferenzbeitrag
Conference Paper
2016 Stacking of insulating substrates and a field plate to increase the PDIV for high voltage power modules
Bayer, Christoph Friedrich; Waltrich, Uwe; Soueidan, Amal; Baer, Eberhard; Schletz, Andreas
Konferenzbeitrag
Conference Paper
2016 Calculation of power losses in litz wire systems by coupling FEM and PEEC method
Roßkopf, Andreas; Bär, Eberhard; Joffe, Christopher; Bonse, Clemens
Zeitschriftenaufsatz
Journal Article
2016 Ion implanted 4H-SiC UV pin-diodes for solar radiation detection - simulation and characterization
Matthus, Christian D.; Erlbacher, Tobias; Burenkov, Alexander; Bauer, A.J.; Frey, Lothar
Konferenzbeitrag
Conference Paper
2016 Empirical cluster modeling revisited
Pichler, Peter
Konferenzbeitrag
Conference Paper
2016 Simulation of thermo-mechanical effect in bulk-silicon FinFETs
Burenkov, Alex; Lorenz, Jürgen
Zeitschriftenaufsatz
Journal Article
2016 Simulation of silicon-dot-based single-electron memory devices
Klüpfel, Fabian Johannes; Burenkov, Alexander; Lorenz, Jürgen
Konferenzbeitrag
Conference Paper
2016 Process informed accurate compact modelling of 14-nm FinFET variability and application to statistical 6T-SRAM simulations
Wang, Xingsheng; Reid, Dave; Wang, Liping; Millar, Campbell; Burenkov, Alex; Evanschitzky, Peter; Baer, Eberhard; Lorenz, Juergen; Asenov, Asen
Konferenzbeitrag
Conference Paper
2016 Simulation of process variations in FinFET transistor patterning
Baer, Eberhard; Burenkov, Alex; Evanschitzky, Peter; Lorenz, Juergen
Konferenzbeitrag
Conference Paper
2016 Equipment simulation for studying the growth rate and its uniformity of oxide layers deposited by plasma-enhanced oxidation
Baer, Eberhard; Niess, Juergen
Konferenzbeitrag
Conference Paper
2016 Partial discharges in ceramic substrates - correlation of electric field strength simulations with phase resolved partial discharge measurements
Bayer, Christoph Friedrich; Waltrich, Uwe; Soueidan, Amal; Bär, Eberhard; Schletz, Andreas
Zeitschriftenaufsatz
Journal Article
2016 Modeling the post-implantation annealing of platinum
Badr, Elie; Pichler, Peter; Schmidt, Gerhard
Konferenzbeitrag
Conference Paper
2016 Enhancing partial discharge inception voltage of DBCs by geometrical variations based on simulations of the electric field strength
Bayer, Christoph Friedrich; Waltrich, Uwe; Schneider, Richard; Soueidan, Amal; Bär, Eberhard; Schletz, Andreas
Konferenzbeitrag
Conference Paper
2016 Simulating wafer bow for integrated capacitors using a multiscale approach
Wright, Alan; Krach, Florian; Thielen, Nils; Grünler, Saeideh; Erlbacher, Tobias; Pichler, Peter
Konferenzbeitrag
Conference Paper
2016 Optimization of 4H-SiC UV photodiode performance using numerical process and device simulation
Burenkov, Alex; Matthus, Christian David; Erlbacher, Tobias
Zeitschriftenaufsatz
Journal Article
2016 A possible explanation of the record electrical performance of silicon nanowire tunnel FETs with silicided source contact
Burenkov, Alex; Lorenz, Juergen
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2015 Role of defects in the dopant diffusion in Si
Pichler, Peter
Aufsatz in Buch
Book Article
2015 Thermal simulation of paralleled SiC PiN diodes in a module designed for 6.5 kV/1 kA
Bayer, Christoph Friedrich; Bär, Eberhard; Kallinger, Birgit; Berwian, Patrick
Konferenzbeitrag
Conference Paper
2015 Impact of acceptor concentration on electrical properties and density of interface states of 4H-SiC n-metal-oxide-semiconductor field effect transistors studied by Hall effect
Ortiz, Guillermo; Strenger, Christian; Uhnevionak, Viktoryia; Burenkov, Alexander; Bauer, Anton J.; Pichler, Peter; Cristiano, Fuccio; Bedel-Pereira, Elena; Mortet, Vincent
Zeitschriftenaufsatz
Journal Article
2015 Diffusion and segregation model for the annealing of silicon solar cells implanted with phosphorus
Wolf, F. Alexander; Martinez-Limia, Alberto; Grote, Daniela; Stichtenoth, Daniel; Pichler, Peter
Zeitschriftenaufsatz
Journal Article
2015 Simulation of the electric field strength in the vicinity of metallization edges on dielectric substrates
Bayer, Christoph; Bär, Eberhard; Waltrich, Uwe; Malipaard, Dirk; Schletz, Andreas
Zeitschriftenaufsatz
Journal Article
2015 Numerical evaluation of the ITRS transistor scaling
Nagy, R.; Burenkov, A.; Lorenz, J.
Zeitschriftenaufsatz
Journal Article
2015 Simulation of plasma immersion ion implantation into silicon
Burenkov, A.; Lorenz, J.; Spiegel, Y.; Torregrosa, F.
Konferenzbeitrag
Conference Paper
2015 Thermo-mechanical ball bonding simulation with elasto-plastic parameters obtained from nanoindentation and atomic force measurements
Wright, Alan; Koffel, Stephane; Kraft, Silke; Pichler, Peter; Cambieri, Juri; Minixhofer, Rainer; Wachmann, Ewald
Konferenzbeitrag
Conference Paper
2015 Hierarchical variability-aware compact models of 20nm bulk CMOS
Wang, Xingsheng; Reid, D.; Wang, Liping; Burenkov, A.; Millar, C.; Lorenz, J.; Asenov, A.
Konferenzbeitrag
Conference Paper
2015 Improvement of feature-scale profile evolution in a silicon dioxide plasma etching simulator using the level set method
Montoliu, C.; Baer, Eberhard; Cerda, J.; Colom, R.J.
Zeitschriftenaufsatz
Journal Article
2015 Coupled simulation to determine the impact of across wafer variations in oxide PECVD on electrical and reliability parameters of through-silicon vias
Bär, Eberhard; Evanschitzky, Peter; Lorenz, Jürgen; Roger, Frederic; Minixhofer, Rainer; Filipovic, Lado; Orio, Roberto de; Selberherr, Siegfried
Zeitschriftenaufsatz
Journal Article
2015 Comprehensive study of the electron scattering mechanisms in 4H-SiC MOSFETs
Uhnevionak, Viktoryia; Burenkov, Alexander; Strenger, Christian; Ortiz, Guillermo; Bedel-Pereira, Elena; Mortet, Vincent; Cristiano, Fuccio; Bauer, Anton J.; Pichler, Peter
Zeitschriftenaufsatz
Journal Article
2014 Modeling the annealing of dislocation loops in implanted c-Si solar cells
Wolf, F. Alexander; Martinez-Limia, Alberto; Stichtenoth, Daniel; Pichler, Peter
Zeitschriftenaufsatz
Journal Article
2014 Relaxation of vacancy depth profiles in silicon wafers: A low apparent diffusivity of vacancy species
Voronkov, Vladimir V.; Falster, Robert; Pichler, Peter
Zeitschriftenaufsatz
Journal Article
2014 Simulation of the boron build-up formation during melting laser thermal annealing
Hackenberg, M.; Huet, K.; Negru, R.; Fisicaro, G.; La Magna, A.; Taleb, N.; Quillec, M.; Pichler, P.
Zeitschriftenaufsatz
Journal Article
2014 Challenges and opportunities for process modeling in the nanotechnology era
Lorenz, J.K.; Baer, E.; Burenkov, A.; Erdmann, A.; Evanschitzky, P.; Pichler, P.
Zeitschriftenaufsatz
Journal Article
2014 Influence of inner skin- and proximity effects on conduction in litz wires
Roßkopf, Andreas; Bär, Eberhard; Joffe, Christopher
Zeitschriftenaufsatz
Journal Article
2014 Calculation of ohmic losses in litz wires by coupling analytical and numerical methods
Roßkopf, Andreas; Joffe, Christopher; Bär, Eberhard
Konferenzbeitrag
Conference Paper
2014 Thermal properties of interconnects in power MOSFETs
Burenkov, Alex; Bär, Eberhard; Boianceanu, Cristian
Konferenzbeitrag
Conference Paper
2014 Deep energy levels of platinum-hydrogen complexes in silicon
Badr, Elie; Pichler, Peter; Schmidt, Gerhard
Konferenzbeitrag
Conference Paper
2014 Hall factor calculation for the characterization of transport properties in n-channel 4H-SiC MOSFETs
Uhnevionak, U.; Burenkov, A.; Strenger, C.; Mortet, V.; Bedel-Peireira, E.; Cristiano, F.; Bauer, A.J.; Pichler, Peter
Konferenzbeitrag
Conference Paper
2014 Advanced extra functionality CMOS-based devices
Cristiano, F.; Pichler, P.; Tavernier, C.; Windl, W.
Zeitschriftenaufsatz
Journal Article
2014 Variability-aware compact model strategy for 20-nm bulk MOSFETs
Wang, Xingsheng; Reid, Dave; Wang, Liping; Burenkov, Alex; Millar, Campbell; Cheng, Binjie; Lange, Andre; Lorenz, Jürgen; Bär, Eberhard; Asenov, Asen
Konferenzbeitrag
Conference Paper
2014 Thermo-mechanical simulation of plastic deformation during temperature cycling of bond wires for power electronic modules
Wright, Alan; Hutzler, Aaron; Schletz, Andreas; Pichler, Peter
Konferenzbeitrag
Conference Paper
2014 Large boron-interstitial cluster modelling in BF3 plasma implanted silicon
Essa, Z.; Cristiano, F.; Spiegel, Y.; Qiu, Y.; Boulenc, P.; Quillec, M.; Taleb, N.; Zographos, N.; Bedel-Pereira, E.; Mortet, V.; Burenkov, A.; Hackenberg, M.; Torregrosa, F.; Tavernier, C.
Zeitschriftenaufsatz
Journal Article
2014 Simultaneous simulation of systematic and stochastic process variations
Lorenz, Jürgen; Bär, Eberhard; Burenkov, Alex; Evanschitzky, Peter; Asenov, Asen; Wang, Liping; Wang, Xingsheng; Brown, Andrew; Millar, Campbell; Reid, David
Konferenzbeitrag
Conference Paper
2014 Systematic analysis of the high- and low-field channel mobility in lateral 4H-SiC MOSFETs
Strenger, C.; Uhnevionak, V.; Mortet, V.; Ortiz, G.; Erlbacher, T.; Burenkov, A.; Bauer, A.J.; Cristiano, F.; Bedel-Pereira, E.; Pichler, P.; Ryssel, H.; Frey, L.
Konferenzbeitrag
Conference Paper
2014 Strahlungsquelle und Verfahren zu deren Betrieb
Burenkov, Alexander
Patent
2014 Simulation of AsH3 plasma immersion ion implantation into silicon
Burenkov, Alex; Lorenz, Jürgen; Spiegel, Yohann; Torregrosa, Frank
Konferenzbeitrag
Conference Paper
2014 Three-dimensional simulation for the reliability and electrical performance of through-silicon vias
Filipovic, Lado; Rudolf, Florian; Bär, Eberhard; Evanschitzky, Peter; Lorenz, Jürgen; Roger, Frederic; Singulani, Anderson; Minixhofer, Rainer; Selberherr, Siegfried
Konferenzbeitrag
Conference Paper
2014 Modeling platinum diffusion in silicon
Badr, Elie; Pichler, Peter; Schmidt, Gerhard
Zeitschriftenaufsatz
Journal Article
2014 Simulation for statistical variability in realistic 20nm MOSFET
Wang, L.; Brown, A.R.; Millar, C.; Burenkov, A.; Wang, X.; Asenov, A.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2014 Impact of fabrication process on electrical properties and on interfacial density of states in 4H-SiC n-MOSFETs studied by hall effect
Ortiz, Guillermo; Mortet, Vincent; Strenger, Christian; Uhnevionak, Viktoryia; Burenkov, Alexander; Bauer, A.J.; Pichler, Peter; Cristiano, Fuccio; Bedel-Pereira, Elena
Konferenzbeitrag
Conference Paper
2014 On an improved boron segregation calibration from a particularly sensitive power MOS process
Koffel, S.; Burenkov, A.; Sekowski, M.; Pichler, P.; Giubertoni, D.; Bersani, M.; Knaipp, M.; Wachmann, E.; Schrems, M.; Yamamoto, Y.; Bolze, D.
Zeitschriftenaufsatz
Journal Article
2013 Double patterning: Simulating a variability challenge for advanced transistors
Evanschitzky, Peter; Burenkov, Alex; Lorenz, Jürgen
Konferenzbeitrag
Conference Paper
2013 Characterization of n-channel 4H-SiC MOSFETs: Electrical measurements and simulation analysis
Uhnevionak, Viktoryia; Strenger, Christian; Burenkov, Alexander; Mortet, Vincent; Bedel-Pereira, Elena; Lorenz, Jürgen; Pichler, Peter
Konferenzbeitrag
Conference Paper
2013 Dopant dynamics and defects evolution in implanted silicon under laser irradiations: A coupled continuum and kinetic Monte Carlo approach
Fisicaro, G.; Pelaz, L.; Aboy, M.; Lopez, P.; Italia, M.; Huet, K.; Cristiano, F.; Essa, Z.; Yang, Q.; Bedel-Pereira, E.; Hackenberg, M.; Pichler, P.; Quillec, M.; Taleb, N.; La Magna, A.
Konferenzbeitrag
Conference Paper
2013 Melt depth and time variations during pulsed laser thermal annealing with one and more pulses
Hackenberg, Moritz; Rommel, Mathias; Rumler, M; Lorenz, Jürgen; Pichler, Peter; Huet, Karim; Negru, Razvan; Fisicaro, Giuseppe; Magna, Antonino la; Taleb, Nadjib; Quillec, M.
Konferenzbeitrag
Conference Paper
2013 Correlation of interface characteristics to electron mobility in channel-implanted 4H-SiC MOSFETs
Strenger, C.; Uhnevionak, V.; Burenkov, A.; Bauer, A.J.; Mortet, V.; Bedel-Pereira, E.; Cristiano, F.; Krieger, M.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2013 On the strain induced by arsenic into silicon
Koffel, Stéphane; Pichler, Peter; Lorenz, Jürgen; Bisognin, Gabriele; Napolitani, Enrico; Salvador, Davide de
Konferenzbeitrag
Conference Paper
2013 Verification of near-interface traps models by electrical measurements on 4H-SiC n-channel MOSFETs
Uhnevionak, V.; Strenger, C.; Burenkov, A.; Mortet, V.; Bedel-Pereira, E.; Cristiano, F.; Bauer, A.; Pichler, P.
Konferenzbeitrag
Conference Paper
2013 Hall effect characterization of 4H-SiC MOSFETs: Influence of nitrogen channel implantation
Mortet, V.; Bedel-Pereira, E.; Bobo, J.F.; Cristiano, F.; Strenger, C.; Uhnevionak, V.; Burenkov, A.; Bauer, A.J.
Konferenzbeitrag
Conference Paper
2013 Tunnel field-effect transistors with graphene channels
Svintsov, D.A.; Vyurkov, V.V.; Lukichev, V.F.; Orlikovsky, A.A.; Burenkov, A.; Oechsner, R.
Zeitschriftenaufsatz
Journal Article
2013 On the temperature dependence of the hall factor in n-channel 4H-SiC MOSFETs
Uhnevionak, V.; Burenkov, A.; Strenger, C.; Bauer, A.J.; Pichler, P.
Konferenzbeitrag
Conference Paper
2013 Influence of La on the electrical properties of HfSiON: From diffusion to Vth shifts
Hackenberg, M.; Pichler, P.; Baudot, S.; Essa, Z.; Gro-Jean, M.; Tavernier, C.; Schamm-Chardon, S.
Zeitschriftenaufsatz
Journal Article
2013 Self-heating of Nano-Scale SOI MOSFETs: TCAD and Molecular Dynamics Simulations
Burenkov, Alex; Belko, Viktor; Lorenz, Jürgen
Konferenzbeitrag
Conference Paper
2013 Extended model for platinum diffusion in silicon
Badr, E.; Pichler, P.; Schmidt, G.
Konferenzbeitrag
Conference Paper
2013 On the calculation of hall factors for the characterization of electronic devices
Uhnevionak, V.; Burenkov, A.; Pichler, P.
Konferenzbeitrag
Conference Paper
2013 A comprehensive model for the diffusion of boron in silicon in presence of fluorine
Wolf, F. Alexander; Martinez-Limia, Alberto; Pichler, Peter
Zeitschriftenaufsatz
Journal Article
2013 Anomalous impurity segregation and local bonding fluctuation in l-Si
Fisicaro, G.; Huet, K.; Negru, R.; Hackenberg, M.; Pichler, P.; Taleb, N.; La Magna, A.
Zeitschriftenaufsatz
Journal Article
2013 Influence of ion implantation in SiC on the channel mobility in lateral n-channel MOSFETs
Strenger, C.; Uhnevionak, V.; Burenkov, A.; Bauer, A.J.; Pichler, P.; Erlbacher, T.; Ryssel, H.; Frey, L.
Konferenzbeitrag
Conference Paper
2013 On the thermo-mechanical modelling of a ball bonding process with ultrasonic softening
Wright, A.; Koffel, S.; Pichler, P.; Enichlmair, H.; Minixhofer, R.; Wachmann, E.
Konferenzbeitrag
Conference Paper
2013 Self-Heating Effects in Nano-Scaled MOSFETs and Thermal-Aware Compact Models for the SOI CMOS Generation of 2015
Burenkov, Alex; Lorenz, Jürgen
Konferenzbeitrag
Conference Paper
2012 Hall effect characterizations of 4H-SiC MOSFETs: Influence of nitrogen channel implantation
Mortet, V.; Bedel-Pereira, E.; Bobo, J.; Strenger, C.; Uhnevionak, V.; Burenkov, A.; Cristiano, F.; Bauer, A.
Poster
2012 Correlation-aware analysis of the impact of process variations on circuit behavior
Burenkov, Alex; Baer, Eberhard; Lorenz, Juergen; Kampen, Christian
Vortrag
Presentation
2012 Enthalpy based modeling of pulsed excimer laser annealing for process simulation
Hackenberg, M.; Pichler, P.; Huet, K.; Negru, R.; Venturini, J.; Pakfar, A.; Tavernier, C.; La Magna, A.
Konferenzbeitrag
Conference Paper
2012 Rigorous electromagnetic field simulation of the impact of photomask line-edge and line-width roughness on lithographic processes
Rudolph, O.; Evanschitzky, P.; Erdmann, A.; Bär, E.; Lorenz, J.
Zeitschriftenaufsatz
Journal Article
2012 Angular distributions of sputtered silicon at grazing gallium ion beam incidence
Burenkov, Alex; Sekowski, Matthias; Belko, Viktor; Ryssel, Heiner
Zeitschriftenaufsatz
Journal Article
2012 Verfahren zur gezielten Einstellung einer Tropfenkondensation auf einer Oberfläche eines Substrats mittels Ionenimplantation
Burenkov, Alexander; Pichler, Peter; Fröba, Andreas; Rausch, Michael Heinrich; Leipertz, Alfred
Patent
2012 BF3 PIII modeling: Implantation, amorphisation and diffusion
Essa, Z.; Cristiano, F.; Spiegel, Y.; Boulenc, P.; Qiu, Y.; Quillec, M.; Taleb, N.; Burenkov, A.; Hackenberg, M.; Bedel-Pereira, E.; Mortet, V.; Torregrosa, F.; Tavernier, C.
Konferenzbeitrag
Conference Paper
2012 Precipitation of antimony implanted into silicon
Koffel, S.; Pichler, P.; Reading, M.A.; Berg, J. van den; Kheyrandish, H.; Hamm, S.; Lerch, W.; Pakfar, A.; Tavernier, C.
Zeitschriftenaufsatz
Journal Article
2012 Verification of near-interface traps by electrical measurements on 4H-SiC n-channel MOSFETs
Uhnevionak, V.; Strenger, C.; Burenkov, A.; Mortet, V.; Bedel-Pereira, E.; Cristiano, F.; Bauer, A.; Pichler, P.
Vortrag
Presentation
2012 Simulation of BF3 plasma immersion ion implantation into silicon
Burenkov, A.; Hahn, A.; Spiegel, Y.; Etienne, H.; Torregrosa, F.
Konferenzbeitrag
Conference Paper
2012 Modeling boron profiles in silicon after pulsed excimer laser annealing
Hackenberg, M.; Huet, K.; Negru, R.; Venturini, J.; Fisicaro, G.; La Magna, A.; Pichler, P.
Konferenzbeitrag
Conference Paper
2011 Hierarchical simulation of process variations and their impact on circuits and systems: Methodology
Lorenz, J.; Bär, E.; Clees, Tanja; Jancke, R.; Salzig, C.P.J; Selberherr, S.
Zeitschriftenaufsatz
Journal Article
2011 Hierarchical simulation of process variations and their impact on circuits and systems: Results
Lorenz, J.K.; Bär, E.; Clees, Tanja; Evanschitzky, P.; Jancke, R.; Kampen, C.; Paschen, U.; Salzig, C.P.J; Selberherr, S.
Zeitschriftenaufsatz
Journal Article
2011 Rigorous EMF simulation of the impact of photomask line-edge and line-width roughness on lithographic processes
Rudolph, O.H.; Evanschitzky, P.; Erdmann, A.; Bär, E.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2011 Simulation of plasma immersion ion implantation
Burenkov, A.; Pichler, P.; Lorenz, J.; Spiegel, Y.; Duchaine, J.; Torregrosa, F.
Konferenzbeitrag
Conference Paper
2011 On the influence of RTA and MSA peak temperature variations on Schottky contact resistances of 6-T SRAM cells
Kampen, C.; Burenkov, A.; Pichler, P.; Lorenz, J.
Zeitschriftenaufsatz
Journal Article
2011 Defects formed by pulsed laser annealing: Electrical properties and depth profiles in n-type silicon measured by deep level transient spectroscopy
Schindele, D.; Pichler, P.; Lorenz, J.; Oesterlin, P.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
2011 Self-heating effects in nano-scaled MOSFETs and thermal aware compact models
Burenkov, A.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2011 Germanium substrate loss during thermal processing
Kaiser, R.J.; Koffel, S.; Pichler, P.; Bauer, A.J.; Amon, B.; Frey, L.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
2011 Challenges in TCAD simulations of tunneling field effect transistors
Kampen, Christian; Burenkov, Alex; Lorenz, Jürgen
Konferenzbeitrag
Conference Paper
2011 Experiments and simulation of the diffusion and activation of the n-Type dopants P, As, and Sb implanted into germanium
Koffel, S.; Kaiser, R.J.; Bauer, A.J.; Amon, B.; Pichler, P.; Lorenz, J.; Frey, L.; Scheiblin, P.; Mazzocchi, V.; Barnes, J.-P.; Claverie, A.
Zeitschriftenaufsatz
Journal Article
2011 Rigorous EMF simulation of the impact of photomask line-edge and line-width roughness on lithographic processes
Rudolph, Oliver; Evanschitzky, Peter; Erdmann, Andreas; Bär, Eberhard; Lorenz, Jürgen
Poster
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2010 Coupling of equipment simulation and feature-scale profile simulation for dry-etching of polysilicon gate lines
Baer, E.; Kunder, D.; Evanschitzky, P.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2010 Review of stress effects on dopant solubility in silicon and silicon-germanium layers
Bennett, N.S.; Ahn, C.; Cowern, N.E.B.; Pichler, P.
Konferenzbeitrag
Conference Paper
2010 FD SOI MOSFET compact modeling including process variations
Kampen, C.; Burenkov, A.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2010 Determination of across-wafer variations of transistor characteristics by coupling equipment simulation with technology computer-aided design (TCAD)
Kampen, C.; Burenkov, A.; Kunder, D.; Baer, E.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2010 Simulation of focused ion beam etching by coupling a topography simulator and a Monte-Carlo sputtering yield simulator
Kunder, D.; Baer, E.; Sekowski, M.; Pichler, P.; Rommel, M.
Zeitschriftenaufsatz
Journal Article
2010 On the influence of flash peak temperature variations on Schottky contact resistances of 6-T SRAM cells
Kampen, C.; Burenkov, A.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2010 Coupling of Monte Carlo sputter simulation and feature-scale profile simulation and application to the simulation of back etching of an intermetal dielectric
Baer, E.; Kunder, D.; Lorenz, J.; Sekowski, M.; Paschen, Uwe
Konferenzbeitrag
Conference Paper
2010 Characterization of arsenic segregation at Si/SiO2 interface by 3D atom probe tomography
Ngamo, M.; Duguay, S.; Pichler, P.; Daoud, K.; Pareige, P.
Zeitschriftenaufsatz
Journal Article
2010 Impact of technological options for 22 nm SOI CMOS transistors on IC performance
Burenkov, A.; Kampen, C.; Bär, E.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2010 Future challenges in CMOS process modelling
Pichler, P.; Burenkov, A.; Lorenz, J.; Kampen, C.; Frey, L.
Zeitschriftenaufsatz
Journal Article
2010 Lithography induced layout variations in 6-T SRAM cells
Kampen, C.; Evanschitzky, P.; Burenkov, A.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2010 2D Angular distributions of ion sputtered germanium atoms under grazing incidence
Sekowski, M.; Burenkov, A.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2010 Honeycomb voids due to ion implantation in germanium
Kaiser, R.J.; Koffel, S.; Pichler, P.; Bauer, A.J.; Amon, B.; Claverie, A.; Benassayag, G.; Scheiblin, P.; Frey, L.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
2009 PD-SOI MOSFETs: Interface effect on point defects and doping profiles
Bazizi, E.M.; Pakfar, A.; Fazzini, P.F.; Cristiano, F.; Tavernier, C.; Claverie, A.; Burenkov, A.; Pichler, P.
Konferenzbeitrag
Conference Paper
2009 Simulation assessment of process options for advanced CMOS devices
Kampen, C.; Burenkov, A.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2009 Comparison between 65nm bulk and PD-SOI MOSFETs. Si/BOX interface effect on point defects and doping profiles
Bazizi, E.M.; Pakfar, A.; Fazzini, P.F.; Cristiano, F.; Tavernier, C.; Claverie, A.; Burenkov, A.; Pichler, P.
Konferenzbeitrag
Conference Paper
2009 Impact of lithography variations on advanced CMOS devices
Lorenz, J.; Kampen, C.; Burenkov, A.; Fühner, T.
Konferenzbeitrag
Conference Paper
2008 Angular distributions of sputtered atoms from semiconductor targets at grazing ion beam incidence angles
Sekowski, M.; Burenkov, A.; Hernández-Mangas, J.; Martinez-Limia, A.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2008 A simulation study on the impact of lithographic process variations on CMOS device performance
Fühner, T.; Kampen, C.; Kodrasi, I.; Burenkov, A.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2008 Modeling of the diffusion and activation of arsenic in silicon including clustering and precipitation
Martinez-Limia, A.; Pichler, P.; Steen, C.; Paul, S.; Lerch, W.
Konferenzbeitrag
Conference Paper
2008 Comparison of different methods for simulating the effect of specular ion reflection on microtrenching during dry etching of polysilicon
Kunder, D.; Baer, E.
Zeitschriftenaufsatz
Journal Article
2008 Detailed arsenic concentration profiles at Si/SiO2 interfaces
Pei, L.; Duscher, G.; Steen, C.; Pichler, P.; Ryssel, H.; Napolitani, E.; Salvador, D. de; Piro, A.M.; Terrasi, A.; Severac, F.; Cristiano, F.; Ravichandran, K.; Gupta, N.; Windl, W.
Zeitschriftenaufsatz
Journal Article
2008 Front-end junction and contact formation in future silicon/germanium based devices. Preface
Cristianoa, F.; Lauwers, A.; Pichler, P.; Feudel, T.; Windil, W.
Konferenzbeitrag
Conference Paper
2008 Total reflection x-ray fluorescence as a sensitive analysis method for the investigation of sputtering processes
Sekowski, M.; Steen, C.; Nutsch, A.; Birnbaum, E.; Burenkov, A.; Pichler, P.
Konferenzbeitrag
Conference Paper
2008 Process models for advanced annealing schemes and their use in device simulation
Pichler, P.; Martinez-Limia, A.; Kampen, C.; Burenkov, A.; Schermer, J.; Paul, S.; Lerch, W.; Gelpey, J.; McCoy, S.; Kheyrandish, H.; Pakfar, A.; Tavernier, C.; Bolze, D.
Konferenzbeitrag
Conference Paper
2008 On a computationally efficient approach to boron-interstitial clustering
Schermer, J.; Martinez-Limia, A.; Pichler, P.; Zechner, C.; Lerch, W.; Paul, S.
Zeitschriftenaufsatz
Journal Article
2008 An application-driven improvement of the drift-diffusion model for carrier transport in decanano-scaled CMOS devices
Kampen, C.; Burenkov, A.; Lorenz, J.; Ryssel, H.; Aubry-Fortuna, V.; Bournel, A.
Zeitschriftenaufsatz
Journal Article
2008 Advanced activation trends for boron and arsenic by combinations of single, multiple flash anneals and spike rapid thermal annealing
Lerch, W.; Paul, S.; Niess, J.; McCoy, S.; Gelpey, J.; Cristiano, F.; Severac, F.; Fazzini, P.; Martinez-Limia, A.; Pichler, P.; Kheyrandish, H.; Bolze, D.
Konferenzbeitrag
Conference Paper
2008 Application-driven simulation of nanoscaled CMOS transistors and circuits
Burenkov, A.; Kampen, C.; Baer, E.; Lorenz, J.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
2008 Segregation of antimony to Si/SiO2 interfaces
Steen, C.; Pichler, P.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
2008 Modeling and simulation of advanced annealing processes
Martinez-Limia, A.; Pichler, P.; Steen, C.; Paul, S.; Lerch, W.
Aufsatz in Buch
Book Article
2008 Experimental investigations and simulation of the deactivation of arsenic during thermal processes after activation by SPER and spike annealing
Martinez-Limia, A.; Pichler, P.; Lerch, W.; Paul, S.; Kheyrandish, H.; Pakfar, A.; Tavernier, C.
Zeitschriftenaufsatz
Journal Article
2008 Distribution and segregation of arsenic at the SiO2/Si interface
Steen, C.; Martinez-Limia, A.; Pichler, P.; Ryssel, H.; Paul, S.; Lerch, W.; Pei, L.; Duscher, G.; Severac, F.; Cristiano, F.; Windl, W.
Zeitschriftenaufsatz
Journal Article
2008 Advanced annealing strategies for the 32 nm node
Kampen, C.; Martinez-Limia, A.; Pichler, P.; Burenkov, A.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2008 Modeling and simulation atomistic process simulation and memory modeling
Sonoda, K.; Pichler, P.
Konferenzbeitrag
Conference Paper
2008 Pre-silicon SPICE modeling of nano-scaled SOI MOSFETs
Burenkov, A.; Kampen, C.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2008 Alternative source/drain contact-pad architectures for contact resistance improvement in decanano-scaled CMOS devices
Kampen, C.; Burenkov, A.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2008 All-quantum simulation of an ultra-small SOI MOSFET
Vyurkov, V.; Semenikhin, I.; Lukichev, V.; Burenkov, A.; Orlikovsky, A.
Konferenzbeitrag
Conference Paper
2008 Physically based simulation of fully depleted SOI MOS transistors at nanometer gate lengths
Burenkov, A.; Kampen, C.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2008 On the stability of fully depleted SOI MOSFETs under lithography process variations
Kampen, C.; Fühner, T.; Burenkov, A.; Erdmann, A.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2007 Upcoming challenges for process modeling
Pichler, P.
Konferenzbeitrag
Conference Paper
2007 Characterization of the impurity profile at the SiO2/Si interface using a combination of total reflection x-ray fluorescence spectrometry and successive etching of silicon
Steen, C.; Nutsch, A.; Pichler, P.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
2007 Advanced activation and deactivation of arsenic-implanted ultra-shallow junctions using flash and spike + flash annealing
Lerch, W.; Paul, S.; Niess, J.; McCoy, S.; Gelpey, J.; Bolze, D.; Cristiano, F.; Severac, F.; Fazzini, P.F.; Martinez, A.; Pichler, P.
Konferenzbeitrag
Conference Paper
2007 Experimental and theoretical results of dopant activation by a combination of spike and flash annealing
Lerch, W.; Paul, S.; Niess, J.; Chan, J.; McCoy, S.; Gelpey, J.; Cristiano, F.; Severac, F.; Fazzini, P.F.; Bolze, D.; Pichler, P.; Martinez, A.; Mineji, A.; Shishiguchi, S.
Konferenzbeitrag
Conference Paper
2007 Characterization of the pile-up of As at the SiO2/Si interface
Steen, C.; Martinez-Limia, A.; Pichler, P.; Ryssel, H.; Pei, L.; Duscher, G.; Windl, W.
Konferenzbeitrag
Conference Paper
2007 On a computationally efficient approach to Boron-interstitial clustering
Schermer, J.; Pichler, P.; Zechner, C.; Lerch, W.; Paul, S.
Konferenzbeitrag
Conference Paper
2007 Characterization of the Segregation of Arsenic at the Interface SiO2/Si
Steen, C.; Pichler, P.; Ryssel, H.; Pei, L.; Duscher, G.; Werner, M.; Berg, J.A. van den; Windl, W.
Konferenzbeitrag
Conference Paper
2007 Diffusion and deactivation of As in Si: Combining atomistic and continuum simulation approaches
Martinez-Limia, A.; Steen, C.; Pichler, P.; Gupta, N.; Windl, W.; Paul, S.; Lerch, W.
Konferenzbeitrag
Conference Paper
2007 Physically based simulation of fully depleted SOI MOS transistors at nanometer gate lengths
Burenkov, A.; Kampen, C.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2006 Pattern Effects with the Mask Off
Nenyei, Z.; Niess, J.; Lerch, W.; Dietl, W.; Timans, P.J.; Pichler, P.
Konferenzbeitrag
Conference Paper
2006 Three-dimensional resist development simulation with discrete models
Schnattinger, T.; Baer, E.; Erdmann, A.
Zeitschriftenaufsatz
Journal Article
2006 Quantum mechanical studies of boron clustering in silicon
Deák, P.; Gali, A.; Pichler, P.
Aufsatz in Buch
Book Article
2006 Flash Annealing Technology for USJ: Modeling and Metrology
Gelpey, J.; McCoy, S.; Camm, D.; Lerch, W.; Paul, S.; Pichler, P.; Borland, J.O.; Timans, P.
Konferenzbeitrag
Conference Paper
2006 Mesoscopic resist processing simulation in optical lithography
Schnattinger, T.; Bär, E.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Process-induced diffusion phenomena in advanced CMOS technologies
Pichler, P.; Burenkov, A.; Lerch, W.; Lorenz, J.; Paul, S.; Niess, J.; Nényei, Z.; Gelpey, J.; McCoy, S.; Windl, W.; Giles, L.F.
Konferenzbeitrag
Conference Paper
2006 Verbindungsnetzwerk zwischen Halbleiterstrukturen
Burenkov, A.
Patent
2006 A fast development simulation algorithm for discrete resist models
Schnattinger, T.; Bär, E.; Erdmann, A.
Konferenzbeitrag
Conference Paper
2006 Diffusion and activation of dopants in silicon and advanced silicon-based materials
Pichler, P.; Ortiz, C.J.; Colombeau, B.; Cowern, N.E.B.; Lampin, E.; Uppal, S.; Karunaratne, M.S.A.; Bonar, J.M.; Willoughby, A.F.W.; Claverie, A.; Cristiano, F.; Lerch, W.; Paul, S.
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2005 Advanced activation of ultra-shallow junctions using flash-assisted RTP
Lerch, W.; Paul, S.; Niess, J.; McCoy, S.; Selinger, T.; Gelpey, J.; Cristiano, F.; Severac, F.; Gavelle, M.; Boninelli, S.; Pichler, P.; Bolze, D.
Konferenzbeitrag
Conference Paper
2005 Ab initio identification of the nitrogen diffusion mechanism in silicon
Stoddard, N.; Pichler, P.; Duscher, G.; Windl, W.
Zeitschriftenaufsatz
Journal Article
2005 Ion sputtering at grazing incidence for SIMS-analysis
Ullrich, M.; Burenkov, A.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2005 Comparison of different approaches for the simulation of topography evolution during lithography development
Schnattinger, T.; Bär, E.
Konferenzbeitrag
Conference Paper
2004 Modeling of chemical-mechanical polishing on patterned wafers as part of integrated topography process simulation
Nguyen, P.-H.; Bär, E.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2004 Quantum mechanical studies of boron clustering in silicon
Deák, P.; Gali, A.; Pichler, P.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2004 Intrinsic point defects, impurities, and their diffusion in silicon
Pichler, P.
Buch
Book
2004 Three-dimensional simulation of ionized metal plasma vapor deposition
Kistler, S.; Bär, E.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2004 3D simulation of process effects limiting FinFET performance and scalability
Burenkov, A.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2004 Effect of oxygen on the diffusion of nitrogen implanted in silicon
Mannino, G.; Privitera, V.; Scalese, S.; Libertino, S.; Napolitani, E.; Pichler, P.; Cowern, N.E.B.
Zeitschriftenaufsatz
Journal Article
2004 Polierverfahren in der Halbleiterfertigung
Pfitzner, L.; Bär, E.; Frickinger, J.; Nguyen, H.; Nutsch, A.
Konferenzbeitrag
Conference Paper
2004 On the modeling of transient diffusion and activation of boron during post-implantation annealing
Pichler, P.; Ortiz, C.J.; Colombeau, B.; Cowern, N.E.B.; Lampin, E.; Claverie, A.; Cristiano, F.; Lerch, W.; Paul, S.
Konferenzbeitrag
Conference Paper
2004 Adaptive surface triangulations for 3D process simulation
Nguyen, P.-H.; Burenkov, A.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2004 A physically based model for the spatial and temporal evolution of self-interstitial agglomerates in ion-implanted silicon
Ortiz, C.J.; Pichler, P.; Fühner, T.; Cristiano, F.; Colombeau, B.; Cowern, N.E.B.; Claverie, A.
Zeitschriftenaufsatz
Journal Article
2004 Current understanding and modeling of B diffusion and activation anomalies in preamorphized ultra-shallow junctions
Colombeau, B.; Smith, A.J.; Cowern, N.E.B.; Pawlak, B.J.; Cristiano, F.; Duffy, R.; Claverie, A.; Ortiz, C.J.; Pichler, P.; Lampin, E.; Zechner, C.
Konferenzbeitrag
Conference Paper
2004 Preface to the special issue on the EMRS 2004 Symposium B on "Material Science Issues in Advanced CMOS Source-drain Engineering"
Mannino, G.; Feudel, T.; Pichler, P.; Servidori, M.
Konferenzbeitrag
Conference Paper
2004 3D feature-scale simulation of sputter etching with coupling to equipment simulation
Bär, E.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2004 Boron-interstitial cluster kinetics: Extraction of binding energies from dedicated experiments
Ortiz, C.J.; Pichler, P.; Haublein, V.; Mannino, G.; Scalese, S.; Privitera, V.; Solmi, S.; Lerch, W.
Konferenzbeitrag
Conference Paper
2004 Ion sputtering at grazing incidence for SIMS-analysis
Ullrich, M.; Burenkov, A.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2004 Electrical deactivation and diffusion of boron in preamorphized ultrashallow junctions: Interstitial transport and F co-implant control
Colombeau, B.; Smith, A.J.; Cowern, N.E.B.; Lerch, W.; Paul, S.; Pawlak, B.J.; Cristiano, F.; Hebras, X.; Bolze, D.; Ortiz, C.; Pichler, P.
Konferenzbeitrag
Conference Paper
2003 Diffusion and electrical activation of indium in silicon
Scalese, S.; Italia, M.; La Magna, A.; Mannino, G.; Privitera, V.; Bersani, M.; Giubertoni, D.; Barozzi, M.; Solmi, S.; Pichler, P.
Zeitschriftenaufsatz
Journal Article
2003 Corner effect in double and triple gate FinFETs
Burenkov, A.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2003 Indium in silicon: A study on diffusion and electrical activation
Scalese, S.; La Magna, A.; Mannino, G.; Privitera, V.; Bersani, M.; Giubertoni, D.; Solmi, S.; Pichler, P.
Konferenzbeitrag
Conference Paper
2003 Merging Atomistic and Continuum Simulations of Silicon Technology - The Best from the Two Worlds
Pichler, P.
Konferenzbeitrag
Conference Paper
2003 Transient-diffusion effects
Stiebel, D.; Pichler, P.
Zeitschriftenaufsatz
Journal Article
2003 Three-dimensional simulation of superconformal copper deposition based on the curvature-enhanced accelerator coverage mechanism
Bär, E.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2003 Three-dimensional triangle-based simulation of etching processes and applications
Lenhart, O.; Bär, E.
Zeitschriftenaufsatz
Journal Article
2003 Figures of merit for CMOS switching speed
Burenkov, A.; Gund, C.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2003 On the role of corner effect in FinFETs
Lorenz, J.; Burenkov, A.
Konferenzbeitrag
Conference Paper
2002 Current status of models for transient phenomena in dopant diffusion and activation
Pichler, P.; Stiebel, D.
Zeitschriftenaufsatz
Journal Article
2002 Properties of vacancies in silicon determined from laser-annealing experiments
Pichler, P.
Konferenzbeitrag
Conference Paper
2002 Simulation of the influence of via sidewall tapering on step coverage of sputter-deposited barrier layers
Bär, E.; Lorenz, J.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
2002 Determination of aluminum diffusion parameters in silicon
Krause, O.; Pichler, P.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
2002 Current understanding and modeling of boron-interstitial clusters
Pichler, P.
Konferenzbeitrag
Conference Paper
2002 Three-dimensional simulation of the channel stop implant effects in sub-quarter micron PMOS transistors
Burenkov, A.; Lorenz, J.
Konferenzbeitrag
Conference Paper
2002 Three-dimensional triangle-based simulation of etching processes
Lenhart, O.; Bär, E.
Konferenzbeitrag
Conference Paper
2001 On the effect of local electronic stopping on ion implantation profiles in non-crystalline targets
Burenkov, A.; Mu, Y.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2001 A reduced approach for modeling the influence of nanoclusters and {113}-defects on transient enhanced diffusion
Stiebel, D.; Pichler, P.; Cowern, N.E.B.
Zeitschriftenaufsatz
Journal Article
2001 Compact modelling of process related effects on electrical behaviour of CMOS transistors
Burenkov, A.; Zhou, X.
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
2000 Modeling the Amorphization of Si due to the Implantation of As, Ge, and Si
Stiebel, D.; Burenkov, A.; Pichler, P.; Cristiano, F.; Claverie, A.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2000 Modelling of intrinsic aluminum diffusion for future power devices
Krause, O.; Pichler, P.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2000 Phosphorus ion shower implantation for special power IC applications
Kröner, F.; Schork, R.; Frey, L.; Burenkov, A.; Ryssel, H.
Konferenzbeitrag
Conference Paper
2000 Three-Dimensional Simulation of the Conformality of Copper Layers Deposited by Low-Pressure Chemical Vapor Deposition from CuI(tmvs)(hfac)
Bär, E.; Lorenz, J.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
2000 Optimization of 0.18 µm CMOS Devices by Coupled Process and Device Simulation
Burenkov, A.; Tietzel, K.; Lorenz, J.
Zeitschriftenaufsatz
Journal Article
2000 Vacancy-Nitrogen Complexes in Float-Zone Silicon
Quast, F.; Pichler, P.; Ryssel, H.; Falster, R.
Konferenzbeitrag
Conference Paper
2000 Charge-state dependence of stopping power for light ions penetrating thin carbon foils at low velocity
Mu, Y.G.; Burenkov, A.; Ryssel, H.; Xia, Y.Y.; Mei, L.M.
Zeitschriftenaufsatz
Journal Article
2000 Control and Improvement of Surface Triangulation for Three-Dimensional Process Simulation
Bär, E.; Lorenz, J.
Zeitschriftenaufsatz
Journal Article
2000 A Computationally Efficient Method for Three-Dimensional Simulation of Ion Implantation
Burenkov, A.; Tietzel, K.; Hössinger, A.; Lorenz, J.; Ryssel, H.; Selberherr, S.
Zeitschriftenaufsatz
Journal Article
2000 Charge state dependence of stopping power for light ions penetrating thin carbon foils at low velocity
Mu, Y.; Burenkov, A.; Ryssel, H.; Xia, Y.; Mei, L.
Zeitschriftenaufsatz
Journal Article
2000 3D simulation of the conformality of copper layers deposited by low-pressure chemical vapor deposition from cul(tmvs)(hfac)
Bär, E.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1999 Utilizing coupled process and device simulation for optimization of sub-quarter-micron CMOS technology
Wittl, J.; Burenkov, A.; Tietzel, K.; Müller, A.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1999 A computationally efficient method for three-dimensional simulation of ion implantation
Burenkov, A.; Tietzel, K.; Hössinger, A.; Lorenz, J.; Ryssel, H.; Selberherr, S.
Konferenzbeitrag
Conference Paper
1999 Extraction of vacancy parameters from outdiffusion of zinc from silicon
Pichler, P.
Konferenzbeitrag
Conference Paper
1999 Modeling of transient enhanced dopant diffusion by using a moment-based model describing point-defect clustering
Stiebel, D.; Pichler, P.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1999 Investigation of the Suppression of the Narrow Channel Effect in Deep Sub-Micron EXTIGATE Transistors
Burenkov, A.; Tietzel, K.; Lorenz, J.; Ryssel, H.; Schwalke, U.
Konferenzbeitrag
Conference Paper
1999 On the influence of boron-interstitial complexes on transient enhanced diffusion
Stiebel, D.; Pichler, P.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1999 Control and Improvement of Surface Triangulation for Three-Dimensional Process Simulation
Bär, E.; Lorenz, J.
Konferenzbeitrag
Conference Paper
1999 Investigation of the supression of the narrow channel effect in deep submicron EXTIGATE transistors
Burenkov, A.; Tietzel, K.; Lorenz, J.; Ryssel, H.; Schwalke, U.
Konferenzbeitrag
Conference Paper
1998 Experimental verification of three-dimensional simulations of LTO layer deposition on structures prepared by anisotropic wet etching of silicon
Bär, E.; Lorenz, J.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
1998 Coupled 3D process and device simulation of advanced MOSFETs
Tietzel, K.; Burenkov, A.; Lorenz, J.
Konferenzbeitrag
Conference Paper
1998 Integrated three-dimensional topography simulation and its application to dual-damascene processing
Bär, E.; Henke, W.; List, S.; Lorenz, J.
Konferenzbeitrag
Conference Paper
1998 On the Asymmetrical Behavior of Transient Enhanced Diffusion in Pre-Amorphized Si Wafers
Alquier, D.; Cowern, N.E.B.; Pichler, P.; Armand, C.; Martinez, A.; Mathiot, D.; Omri, M.; Claverie, A.
Konferenzbeitrag
Conference Paper
1998 Dreidimensionale Simulation von Schichtabscheideprozessen in der Halbleitertechnologie
Bär, E.
Dissertation
Doctoral Thesis
1998 Three-dimensional simulation of layer deposition
Bär, E.; Lorenz, J.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
1998 A reinterpretation of platinum-diffusion experiments
Pichler, P.
Konferenzbeitrag
Conference Paper
1998 Monte-Carlo simulation of silicon amorphization during ion implantation
Bohmayr, W.; Burenkov, A.; Lorenz, J.; Ryssel, H.; Selberherr, S.
Zeitschriftenaufsatz
Journal Article
1998 Distortion of SIMS Profiles due to Ion Beam Mixing: Shallow Arsenic Implants in Silicon
Montandon, C.; Burenkov, A.; Frey, L.; Pichler, P.; Biersack, J.P.
Zeitschriftenaufsatz
Journal Article
1998 Three-dimensional simulation of SiO2 profiles from TEOS-sourced remote microwave plasma-enhanced chemical vapor deposition
Bär, E.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1998 Influence of RTP on Vacancy Concentrations
Jacob, M.; Pichler, P.; Wohs, M.; Ryssel, H.; Falster, R.
Aufsatz in Buch
Book Article
1998 Optimization of critical ion implantation steps in 0.18 mu m CMOS technology
Burenkov, A.; Wittl, J.; Schwalke, U.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1998 Recombination of point defects via extended defects and its influence
Stiebel, D.; Pichler, P.
Konferenzbeitrag
Conference Paper
1997 Vacancy-assisted oxygen precipitation phenomena in Si
Falster, R.; Pagani, M.; Gambaro, D.; Cornara, M.; Olmo, M.; Ferrero, G.; Pichler, P.; Jacob, M.
Zeitschriftenaufsatz
Journal Article
1997 Three-dimensional simulation of conventional and collimated sputter deposition of Ti layers into high aspect ratio contact holes
Bär, E.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1997 3D simulation of sputter deposition of titanium layers in contact holes with high aspect ratios2
Bär, E.; Lorenz, J.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
1997 Realization and evaluation of an ultra low-voltage/low-power 0.25 mu m (n+/p+) dual-workfunction CMOS technology
Schwalke, U.; Berthold, J.; Burenkov, A.; Eisele, M.; Krieg, R.; Narr, A.; Schumann, D.; Seibert, R.; Thanner, R.
Konferenzbeitrag
Conference Paper
1997 Three-dimensional simulation of contact hole metallization using aluminum sputter deposition at elevated temperatures
Bär, E.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1997 Comparison of HDD and pocket architecture for 0.18 mu m N-MOSFETs
Burenkov, A.; Tietzel, K.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1997 Three-dimensional simulation of ion implantation
Lorenz, J.; Tietzel, K.; Burenkov, A.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1997 The PROMPT project and its application to the three-dimensional simulation of low-pressure chemical vapor deposition processes
Bär, E.; Lorenz, J.
Zeitschriftenaufsatz
Journal Article
1997 Integrated three-dimensional topography simulation of contact hole processing
Bär, E.; Benvenuti, A.; Henke, W.; Jünemann, B.; Kalus, C.; Niedermaier, P.; Lorenz, J.
Konferenzbeitrag
Conference Paper
1997 Low energy implantation and transient enhanced diffusion
Cowern, N.E.B.; Collart, E.J.H.; Politiek, J.; Bancken, P.H.L.; Berkum, J.G.M. van; Kyllesbech Larsen, K.; Stolk, P.A.; Huizing, H.G.A.; Pichler, P.; Burenkov, A.; Gravensteijn, D.J.
Konferenzbeitrag
Conference Paper
1997 3D simulation for sub-micron metallization
Bär, E.; Lorenz, J.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
1997 Distortion of SIMS profiles due to ion beam mixing
Saggio, M.; Montandon, C.; Burenkov, A.; Frey, L.; Pichler, P.
Konferenzbeitrag
Conference Paper
1997 Observation of vacancy enhancement during rapid thermal annealing in nitrogen
Jacob, M.; Pichler, P.; Ryssel, H.; Falster, R.; Cornara, M.; Gambaro, D.; Olmo, M.; Pagani, M.
Zeitschriftenaufsatz
Journal Article
1997 3D simulation of sputter deposition of titanium layers in contact holes with high aspect ratios
Bär, E.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1997 Monte-Carlo simulation of silicon amorphization during ion implantation
Bohmayr, W.; Burenkov, A.; Lorenz, J.; Ryssel, H.; Selberherr, S.
Konferenzbeitrag
Conference Paper
1997 Determination of vacancy concentrations in the bulk of silicon wafers by platinum diffusion experiments
Jacob, M.; Pichler, P.; Ryssel, H.; Falster, R.
Zeitschriftenaufsatz
Journal Article
1996 Experimental verification of three-dimensional simulations of LTO layer deposition using geometries prepared with anisotropic wet-etching of silicon with KOH
Bär, E.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1996 Fortschrittliche Prozeßmodelle für 0,25 µm CMOS Technologien
Lorenz, J.; Bauer, H.; Burenkov, A.; List, S.; Pichler, P.
Aufsatz in Buch
Book Article
1996 3-D simulation of LPCVD using segment-based topography discretization
Bär, E.; Lorenz, J.
Zeitschriftenaufsatz
Journal Article
1996 Three-dimensional simulation of ion implantation
Tietzel, K.; Burenkov, A.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1996 Mehrdimensionale Simulation halbleitertechnologischer Fertigungsschritte
Lorenz, J.; Bär, E.; Burenkov, A.; Tietzel, K.
Aufsatz in Buch
Book Article
1996 Three-dimensional simulation of layer deposition
Bär, E.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1996 3D simulation of LPCVD using segment based topography discretization
Bär, E.; Lorenz, J.
Zeitschriftenaufsatz
Journal Article
1996 Three-dimensional simulation of low-pressure chemical vapour deposition
Bär, E.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
1995 Trajectory split method for Monte-Carlo simulation of ion implantation
Bohmayr, W.; Burenkov, A.; Lorenz, J.; Ryssel, H.; Selberherr, S.
Zeitschriftenaufsatz
Journal Article
1995 Platinum diffusion at low temperatures
Jacob, M.; Pichler, P.; Ryssel, H.; Falster, R.
Konferenzbeitrag
Conference Paper
1995 Atomistic evalution of diffusion theories for the diffusion of dopants in vacancy gradients
List, S.; Pichler, P.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
1995 Three-dimensional simulation of topography and doping processes at FhG
Lorenz, J.; Bär, E.; Burenkov, A.; Henke, W.; Tietzel, K.; Weiß, M.
Aufsatz in Buch
Book Article
1995 On the implantation models for simulation of the FOND devices
Burenkov, A.; List, S.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1995 3D simulation of topography and doping processes at FhG
Lorenz, J.; Bär, E.; Burenkov, A.; Henke, W.; Tietzel, K.; Weiß, M.
Konferenzbeitrag
Conference Paper
1995 Modeling dynamic clustering of arsenic including non-neglible concentration of arsenic-point defect pairs
Bauer, H.; Pichler, P.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
1995 Statistical accuracy and CPU-time characteristic of three trajectory split methods for Monte-Carlo simulation of ion implantation
Bohmayr, W.; Burenkov, A.; Lorenz, J.; Ryssel, H.; Selberherr, S.
Konferenzbeitrag
Conference Paper
1995 Analytical model for phosphorus large angle tilted implantation
Burenkov, A.; Bohmayr, W.; Lorenz, J.; Ryssel, H.; Selberherr, S.
Konferenzbeitrag
Conference Paper
1995 3D simulation of tungsten low-pressure chemical vapor deposition in contact holes
Bär, E.; Lorenz, J.
Zeitschriftenaufsatz
Journal Article
1995 Phosphourus-enhanced diffusion of antimony due to generation of self-interstitials
Pichler, P.; Ryssel, H.; Ploß, R.; Bonafos, C.; Claverie, A.
Zeitschriftenaufsatz
Journal Article
1995 Determination of vacancy concentration in float zone and Czochralski silicon
Jacob, M.; Pichler, P.; Ryssel, H.; Gambaro, D.; Falster, R.
Konferenzbeitrag
Conference Paper
1995 Anatomistic evaluation of diffusion theories for the dopants in vacancy gradients
List, S.; Pichler, P.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
1994 Dynamic behavior of arsenic clusters in silicon
Bauer, H.; Pichler, P.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1994 Simulation of buried layer experiments containing all four dopant species
Ghaderi, K.; Hobler, G.; Budil, M.; Pötzl, H.; Pichler, P.; Ryssel, H.; Hansch, W.; Eisele, I.; Tian, C.; Stingeder, G.
Konferenzbeitrag
Conference Paper
1994 On modeling of ion implantation at high temperatures
Pichler, P.; Schork, R.
Zeitschriftenaufsatz
Journal Article
1994 Practical aspects of ion beam analysis of semiconductor structures
Frey, L.; Pichler, P.; Kasko, I.; Thies, I.; Lipp, S.; Streckfuß, N.; Gong, L.
Zeitschriftenaufsatz
Journal Article
1994 Calculation of the transport matrix for the coupled diffusion of dopants and vacancies
List, S.; Pichler, P.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
1994 3D Simulation of Low Pressure Chemical Vapor Deposition
Bär, E.; Lorenz, J.
Konferenzbeitrag
Conference Paper
1994 Enhanced diffusion of antimony caused by phosphorus diffusion at high concentrations
Pichler, P.; Ryssel, H.; Wallmann, G.; Ploß, R.
Konferenzbeitrag
Conference Paper
1993 Dopant migration caused by point defect gradients
Pichler, P.; List, S.
Zeitschriftenaufsatz
Journal Article
1993 Diffusion and activation of arsenic implanted at high temperature in silicon
Yu, Y.H.; Schork, R.; Pichler, P.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
1993 Atomistic evaluation of diffusion theories for the diffusion of dopants in vacancy gradients
List, S.; Pichler, P.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1992 Thermally activated dopant diffusion in crystalline silicon at 200 degree C.
Pichler, P.; Orlowski, M.
Zeitschriftenaufsatz
Journal Article
1992 Evaluation of the point defect bulk recombination rate by ion implantation at high temperatures.
Klauser, T.; Pichler, P.; Ryssel, H.; Schork, R.
Zeitschriftenaufsatz
Journal Article
1992 Direct experimental evidence for diffusion of dopants via pairs with intrinsic point defects
Pichler, P.; Schork, R.; Klauser, T.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
1991 Radiation-enhanced diffusion during high-temperature ion implantation
Schork, R.; Kluge, A.; Pichler, P.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica

Jahr
Year
Titel/Autor:in
Title/Author
Publikationstyp
Publication Type
1990 Optimization of parameters for process simulation
Dürr, R.; Pichler, P.
Zeitschriftenaufsatz
Journal Article
1990 Trends in practical process simulation.
Pichler, P.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
1990 Simulation of silicon semiconductor processing
Pichler, P.; Ryssel, H.
Zeitschriftenaufsatz
Journal Article
1989 One- and two-dimensional process simulation with ICECREM and COMPLAN.
Pichler, P.; Dürr, R.; Holzer, N.; Schott, K.; Barthel, A.; Lorenz, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1989 Programs for VLSI process simulation
Pichler, P.; Lorenz, J.; Pelka, J.; Ryssel, H.
Konferenzbeitrag
Conference Paper
1989 The influence of point defect concentration on the diffusion of gold in silicon
Zimmermann, H.; Pichler, P.
Konferenzbeitrag
Conference Paper
1989 Simulation of complete process step sequences in silicon technology
Pichler, P.; Lorenz, J.
Konferenzbeitrag
Conference Paper
1988 Influence of initial conditions on point defect diffusion. Impact on models
Dürr, R.; Pichler, P.
Konferenzbeitrag
Conference Paper
Diese Liste ist ein Auszug aus der Publikationsplattform Fraunhofer-Publica

This list has been generated from the publication platform Fraunhofer-Publica